aboutsummaryrefslogtreecommitdiff
path: root/modexp_fpga_model.h
AgeCommit message (Collapse)Author
2017-07-18Changes to the model:Pavel V. Shatov (Meister)
* Follow what Verilog does more closely: FPGA can't do PP = P * P, because it can't read from two different block mem P locations at the same time, we have to do P1 = P2 = P, PP = P1 * P2 * Updated the test vector script to format additional quantities to help debug Verilog exponentiation module * Added the trick suggested by Bernd Paysan to help better conceal whether we're discarding multiplication result when the current exponent bit is not set
2017-07-05Turned systolic multiplication into a separate routine.Pavel V. Shatov (Meister)
2017-06-24Improved the model:Pavel V. Shatov (Meister)
* added CRT support * fixed bug in systolic array when operand width is not a multiple of array width
2017-06-13Initial commit of faster modular exponentiation model based on systolic ↵Pavel V. Shatov (Meister)
architecture.