summaryrefslogtreecommitdiff
path: root/src/mkm_test1.sdc
diff options
context:
space:
mode:
authorPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2021-09-13 11:27:48 +0300
committerPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2021-09-13 11:27:48 +0300
commit8979966af45953008f8f41c0b91e5baef42f8e91 (patch)
tree3db08e060ca57441ca35eddb4d22c6cf865e2e89 /src/mkm_test1.sdc
parent69eda72fa8db3e332de6a66a92f994dfed99de94 (diff)
Minimalistic blinking LED design to check whether iCE40 is operational.mkm_test1
Diffstat (limited to 'src/mkm_test1.sdc')
-rw-r--r--src/mkm_test1.sdc1
1 files changed, 1 insertions, 0 deletions
diff --git a/src/mkm_test1.sdc b/src/mkm_test1.sdc
new file mode 100644
index 0000000..c1dfc34
--- /dev/null
+++ b/src/mkm_test1.sdc
@@ -0,0 +1 @@
+create_clock -period 20.83 -name {clk_osc_hf} [get_nets {clk_osc_hf}]