summaryrefslogtreecommitdiff
BranchCommit messageAuthorAge
masterDon't track Lattice iCEcube2 project filesPavel V. Shatov (Meister)2 years
mkm_test1Minimalistic blinking LED design to check whether iCE40 is operational.Pavel V. Shatov (Meister)3 years
 
 
AgeCommit messageAuthor
2021-09-13Minimalistic blinking LED design to check whether iCE40 is operational.mkm_test1Pavel V. Shatov (Meister)
2021-09-13Utility script to convert iCE40 bitstream into .mcs format suitable forPavel V. Shatov (Meister)
 
Clone
https://git.cryptech.is/user/shatov/ice40mkm