From 8979966af45953008f8f41c0b91e5baef42f8e91 Mon Sep 17 00:00:00 2001 From: "Pavel V. Shatov (Meister)" Date: Mon, 13 Sep 2021 11:27:48 +0300 Subject: Minimalistic blinking LED design to check whether iCE40 is operational. --- src/mkm_test1.sdc | 1 + 1 file changed, 1 insertion(+) create mode 100644 src/mkm_test1.sdc (limited to 'src/mkm_test1.sdc') diff --git a/src/mkm_test1.sdc b/src/mkm_test1.sdc new file mode 100644 index 0000000..c1dfc34 --- /dev/null +++ b/src/mkm_test1.sdc @@ -0,0 +1 @@ +create_clock -period 20.83 -name {clk_osc_hf} [get_nets {clk_osc_hf}] -- cgit v1.2.3