aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_keywrap_core.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/tb/tb_keywrap_core.v')
-rw-r--r--src/tb/tb_keywrap_core.v5
1 files changed, 5 insertions, 0 deletions
diff --git a/src/tb/tb_keywrap_core.v b/src/tb/tb_keywrap_core.v
index 17c8f30..1212ad7 100644
--- a/src/tb/tb_keywrap_core.v
+++ b/src/tb/tb_keywrap_core.v
@@ -67,6 +67,8 @@ module tb_keywrap_core();
reg tb_encdec;
wire tb_ready;
wire tb_valid;
+ wire tb_loaded;
+ reg [31 : 0] tb_timeout;
reg [(RLEN_BITS - 1) : 0] tb_rlen;
reg [255 : 0] tb_key;
reg tb_keylen;
@@ -92,6 +94,9 @@ module tb_keywrap_core();
.ready(tb_ready),
.valid(tb_valid),
+ .loaded(tb_loaded),
+
+ .timeout(tb_timeout),
.rlen(tb_rlen),
.key(tb_key),