aboutsummaryrefslogtreecommitdiff
path: root/rtl/modexpng_tdp_36k_x16_x32_wrapper.v
diff options
context:
space:
mode:
Diffstat (limited to 'rtl/modexpng_tdp_36k_x16_x32_wrapper.v')
-rw-r--r--rtl/modexpng_tdp_36k_x16_x32_wrapper.v18
1 files changed, 9 insertions, 9 deletions
diff --git a/rtl/modexpng_tdp_36k_x16_x32_wrapper.v b/rtl/modexpng_tdp_36k_x16_x32_wrapper.v
index 37a5cbc..40930f3 100644
--- a/rtl/modexpng_tdp_36k_x16_x32_wrapper.v
+++ b/rtl/modexpng_tdp_36k_x16_x32_wrapper.v
@@ -1,4 +1,4 @@
-module modexpng_sdp_36k_x16_x32_wrapper
+module modexpng_tdp_36k_x16_x32_wrapper
(
clk, clk_bus,
@@ -25,8 +25,8 @@ module modexpng_sdp_36k_x16_x32_wrapper
input ena;
input wea;
input [BANK_ADDR_W + BUS_OP_ADDR_W -1:0] addra;
- input [ BUD_DATA_W -1:0] dina;
- output [ BUD_DATA_W -1:0] douta;
+ input [ BUS_DATA_W -1:0] dina;
+ output [ BUS_DATA_W -1:0] douta;
input enb;
input regceb;
@@ -42,8 +42,8 @@ module modexpng_sdp_36k_x16_x32_wrapper
.DEVICE ("7SERIES"),
.BRAM_SIZE ("36Kb"),
- .WRITE_WIDTH_A (BUD_DATA_W),
- .READ_WIDTH_A (BUD_DATA_W),
+ .WRITE_WIDTH_A (BUS_DATA_W),
+ .READ_WIDTH_A (BUS_DATA_W),
.WRITE_WIDTH_B (WORD_W),
.READ_WIDTH_B (WORD_W),
@@ -61,7 +61,7 @@ module modexpng_sdp_36k_x16_x32_wrapper
.INIT_B (36'h000000000),
.INIT_FILE ("NONE"),
- .SIM_COLLISION_CHECK ("NONE"),
+ .SIM_COLLISION_CHECK ("NONE")
)
BRAM_TDP_MACRO_inst
(
@@ -72,9 +72,9 @@ module modexpng_sdp_36k_x16_x32_wrapper
.ENA (ena),
.REGCEA (1'b0),
.WEA ({4{wea}}),
- .ADDRA (),
- .DIA (),
- .DOA (),
+ .ADDRA (addra),
+ .DIA (dina),
+ .DOA (douta),
.CLKB (clk),
.ENB (enb),