summaryrefslogtreecommitdiff
path: root/src/mkm_test1.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/mkm_test1.v')
-rw-r--r--src/mkm_test1.v28
1 files changed, 28 insertions, 0 deletions
diff --git a/src/mkm_test1.v b/src/mkm_test1.v
new file mode 100644
index 0000000..64f1b5d
--- /dev/null
+++ b/src/mkm_test1.v
@@ -0,0 +1,28 @@
+module mkm_test1
+(
+ output [3:0] leds // {red, yellow, green, blue}
+ // PCB: | BLUE | RED | YELLOW | GREEN |
+);
+
+ wire clk_osc_hf; // 48 MHz
+
+ SB_HFOSC #
+ (
+ .CLKHF_DIV ("0b00")
+ )
+ SB_HFOSC_inst
+ (
+ .CLKHFPU (1'b1),
+ .CLKHFEN (1'b1),
+ .CLKHF (clk_osc_hf)
+ ) /* synthesis ROUTE_THROUGH_FABRIC = 0 */;
+
+ reg [26:0] cnt = 27'd0;
+
+ always @(posedge clk_osc_hf)
+ //
+ cnt <= cnt + 1'b1;
+
+ assign leds = cnt[26:23];
+
+endmodule