summaryrefslogtreecommitdiff
path: root/src/mkm_test1.pcf
diff options
context:
space:
mode:
authorPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2021-09-13 11:27:48 +0300
committerPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2021-09-13 11:27:48 +0300
commit8979966af45953008f8f41c0b91e5baef42f8e91 (patch)
tree3db08e060ca57441ca35eddb4d22c6cf865e2e89 /src/mkm_test1.pcf
parent69eda72fa8db3e332de6a66a92f994dfed99de94 (diff)
Minimalistic blinking LED design to check whether iCE40 is operational.mkm_test1
Diffstat (limited to 'src/mkm_test1.pcf')
-rw-r--r--src/mkm_test1.pcf5
1 files changed, 5 insertions, 0 deletions
diff --git a/src/mkm_test1.pcf b/src/mkm_test1.pcf
new file mode 100644
index 0000000..cfdac09
--- /dev/null
+++ b/src/mkm_test1.pcf
@@ -0,0 +1,5 @@
+set_io leds[0] 45 -io_std SB_LVCMOS
+set_io leds[1] 48 -io_std SB_LVCMOS
+set_io leds[2] 47 -io_std SB_LVCMOS
+set_io leds[3] 46 -io_std SB_LVCMOS
+