From 8979966af45953008f8f41c0b91e5baef42f8e91 Mon Sep 17 00:00:00 2001 From: "Pavel V. Shatov (Meister)" Date: Mon, 13 Sep 2021 11:27:48 +0300 Subject: Minimalistic blinking LED design to check whether iCE40 is operational. --- src/mkm_test1.pcf | 5 +++++ 1 file changed, 5 insertions(+) create mode 100644 src/mkm_test1.pcf (limited to 'src/mkm_test1.pcf') diff --git a/src/mkm_test1.pcf b/src/mkm_test1.pcf new file mode 100644 index 0000000..cfdac09 --- /dev/null +++ b/src/mkm_test1.pcf @@ -0,0 +1,5 @@ +set_io leds[0] 45 -io_std SB_LVCMOS +set_io leds[1] 48 -io_std SB_LVCMOS +set_io leds[2] 47 -io_std SB_LVCMOS +set_io leds[3] 46 -io_std SB_LVCMOS + -- cgit v1.2.3