aboutsummaryrefslogtreecommitdiff
path: root/src/rtl/top.v
blob: 58daeb2bd843bfcb5636505f404f24b1ca12d374 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
module top (
            input wire clk_in,
            output wire rled1,
            output wire rled2,
            output wire rled3,
            output wire rled4,
            output wire gled5
           );

  reg [31 : 0] counter_reg = 32'b0;

  assign rled1 = counter[21];
  assign rled2 = counter[22];
  assign rled3 = counter[23];
  assign rled4 = counter[24];
  assign gled5 = counter[25];

  always @ (posedge hwclk)
    begin
      counter_reg <= counter_reg + 1;
    end

endmodule // top