aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_fpga_mkm.v
diff options
context:
space:
mode:
authorJoachim StroĢˆmbergson <joachim@assured.se>2019-04-09 16:10:55 +0200
committerJoachim StroĢˆmbergson <joachim@assured.se>2019-04-09 16:10:55 +0200
commit3ad18f9945aa0f6a617fcd399a0a402db9a28027 (patch)
treee66b89f7c9cdaba9d1130a5332fb44e629c5f26b /src/tb/tb_fpga_mkm.v
parent485b5c0316062d5ce7334ed224cc9df242123a32 (diff)
Adding inital tb for the SPI slave. Debugging the SPI slave and the FPGA_MKM.
Diffstat (limited to 'src/tb/tb_fpga_mkm.v')
-rw-r--r--src/tb/tb_fpga_mkm.v6
1 files changed, 3 insertions, 3 deletions
diff --git a/src/tb/tb_fpga_mkm.v b/src/tb/tb_fpga_mkm.v
index 682a99d..226380c 100644
--- a/src/tb/tb_fpga_mkm.v
+++ b/src/tb/tb_fpga_mkm.v
@@ -37,7 +37,7 @@
//
//======================================================================
-module tb_fpga_mklm();
+module tb_fpga_mkm();
//----------------------------------------------------------------
// Parameters.
@@ -96,7 +96,7 @@ module tb_fpga_mklm();
//----------------------------------------------------------------
always
begin : clk_gen
- #CLK_HALF_PERIOD;
+ #(CLK_HALF_PERIOD);
tb_clk = !tb_clk;
end // clk_gen
@@ -185,7 +185,7 @@ module tb_fpga_mklm();
$finish;
end
-endmodule // tb_keywrap_core
+endmodule // tb_fpga_mkm
//======================================================================
// EOF tb_fpga_mkm.v