aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_keywrap_core.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/tb/tb_keywrap_core.v')
-rw-r--r--src/tb/tb_keywrap_core.v3
1 files changed, 3 insertions, 0 deletions
diff --git a/src/tb/tb_keywrap_core.v b/src/tb/tb_keywrap_core.v
index 27a2e91..07777aa 100644
--- a/src/tb/tb_keywrap_core.v
+++ b/src/tb/tb_keywrap_core.v
@@ -68,6 +68,7 @@ module tb_keywrap_core();
reg tb_write;
reg tb_encdec;
reg tb_mkey_mstatus;
+ reg tb_mkey_key;
wire tb_ready;
wire tb_valid;
reg [(RLEN_BITS - 1) : 0] tb_rlen;
@@ -107,6 +108,7 @@ module tb_keywrap_core();
.read(tb_read),
.write(tb_write),
.mkey_mstatus(tb_mkey_mstatus),
+ .mkey_key(tb_mkey_key),
.encdec(tb_encdec),
.ready(tb_ready),
@@ -175,6 +177,7 @@ module tb_keywrap_core();
tb_write = 0;
tb_encdec = 0;
tb_mkey_mstatus = 0;
+ tb_mkey_key = 0;
tb_rlen = 13'h0;
tb_key = 256'h0;
tb_status = 32'h0;