aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_keywrap_core.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/tb/tb_keywrap_core.v')
-rw-r--r--src/tb/tb_keywrap_core.v4
1 files changed, 3 insertions, 1 deletions
diff --git a/src/tb/tb_keywrap_core.v b/src/tb/tb_keywrap_core.v
index 7f9c42d..6ec76be 100644
--- a/src/tb/tb_keywrap_core.v
+++ b/src/tb/tb_keywrap_core.v
@@ -71,6 +71,7 @@ module tb_keywrap_core();
reg [31 : 0] tb_timeout;
reg tb_ping;
reg tb_zeroise;
+ wire tb_dut_timeout;
reg [(RLEN_BITS - 1) : 0] tb_rlen;
reg [255 : 0] tb_key;
reg tb_keylen;
@@ -98,9 +99,10 @@ module tb_keywrap_core();
.valid(tb_valid),
.loaded(tb_loaded),
- .timeout(tb_timeout),
+ .timeout_delay(tb_timeout),
.ping(tb_ping),
.zeroise(tb_zeroise),
+ .timeout(tb_dut_timeout),
.rlen(tb_rlen),
.key(tb_key),