aboutsummaryrefslogtreecommitdiff
path: root/src/rtl/coretest_hashes.v
blob: 34208c56c777271949cc8d32345bf07820f8fc9a (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
//======================================================================
//
// coretest_hashes.v
// -----------------
// Top level wrapper that creates the Cryptech coretest system.
// The wrapper contains instances of external interface, coretest
// and the core to be tested. And if more than one core is
// present the wrapper also includes address and data muxes.
//
//
// Author: Joachim Strombergson
// Copyright (c) 2014, SUNET
// All rights reserved.
// 
// Redistribution and use in source and binary forms, with or 
// without modification, are permitted provided that the following 
// conditions are met: 
// 
// 1. Redistributions of source code must retain the above copyright 
//    notice, this list of conditions and the following disclaimer. 
// 
// 2. Redistributions in binary form must reproduce the above copyright 
//    notice, this list of conditions and the following disclaimer in 
//    the documentation and/or other materials provided with the 
//    distribution. 
// 
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS 
// "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT 
// LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS 
// FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE 
// COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, 
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, 
// BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER 
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, 
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) 
// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF 
// ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
//
//======================================================================

module coretest_hashes(
                       input wire          clk,
                       input wire          reset_n,
                       
                       // External interface.
                       input wire          rxd,
                       output wire         txd,
                       
                       output wire [7 : 0] debug
                      );

  
  //----------------------------------------------------------------
  // Internal constant and parameter definitions.
  //----------------------------------------------------------------
  parameter UART_ADDR_PREFIX   = 8'h00;
  parameter SHA1_ADDR_PREFIX   = 8'h10;
  parameter SHA256_ADDR_PREFIX = 8'h20;
  parameter SHA512_ADDR_PREFIX = 8'h30;
  
  
  //----------------------------------------------------------------
  // Wires.
  //----------------------------------------------------------------
  // Coretest connections.
  wire          coretest_reset_n;
  wire          coretest_cs;
  wire          coretest_we;
  wire [15 : 0] coretest_address;
  wire [31 : 0] coretest_write_data;
  reg [31 : 0]  coretest_read_data;
  reg           coretest_error;

  // uart connections
  wire          uart_rxd_syn;
  wire [7 : 0]  uart_rxd_data;
  wire          uart_rxd_ack;
  wire          uart_txd_syn;
  wire [7 : 0]  uart_txd_data;
  wire          uart_txd_ack;
  reg           uart_cs;
  reg           uart_we;
  reg [7 : 0]   uart_address;
  reg [31 : 0]  uart_write_data;
  wire [31 : 0] uart_read_data;
  wire          uart_error;
  wire [7 : 0]  uart_debug;

  // sha1 connections.
  reg           sha1_cs;
  reg           sha1_we;
  reg [7 : 0]   sha1_address;
  reg [31 : 0]  sha1_write_data;
  wire [31 : 0] sha1_read_data;
  wire          sha1_error;
  wire [7 : 0]  sha1_debug;

  // sha256 connections.
  reg           sha256_cs;
  reg           sha256_we;
  reg [7 : 0]   sha256_address;
  reg [31 : 0]  sha256_write_data;
  wire [31 : 0] sha256_read_data;
  wire          sha256_error;
  wire [7 : 0]  sha256_debug;

  // sha512 connections.
  reg           sha512_cs;
  reg           sha512_we;
  reg [7 : 0]   sha512_address;
  reg [31 : 0]  sha512_write_data;
  wire [31 : 0] sha512_read_data;
  wire          sha512_error;
  wire [7 : 0]  sha512_debug;
  
  
  //----------------------------------------------------------------
  // Concurrent assignment.
  //----------------------------------------------------------------
  assign debug = uart_debug;
  
  
  //----------------------------------------------------------------
  // Core instantiations.
  //----------------------------------------------------------------
  coretest coretest(
                    .clk(clk),
                    .reset_n(reset_n),
                         
                    .rx_syn(uart_rxd_syn),
                    .rx_data(uart_rxd_data),
                    .rx_ack(uart_rxd_ack),
                    
                    .tx_syn(uart_txd_syn),
                    .tx_data(uart_txd_data),
                    .tx_ack(uart_txd_ack),
                    
                    // Interface to the core being tested.
                    .core_reset_n(coretest_reset_n),
                    .core_cs(coretest_cs),
                    .core_we(coretest_we),
                    .core_address(coretest_address),
                    .core_write_data(coretest_write_data),
                    .core_read_data(coretest_read_data),
                    .core_error(coretest_error)
                   );


  uart uart(
            .clk(clk),
            .reset_n(reset_n),
            
            .rxd(rxd),
            .txd(txd),

            .rxd_syn(uart_rxd_syn),
            .rxd_data(uart_rxd_data),
            .rxd_ack(uart_rxd_ack),

            .txd_syn(uart_txd_syn),
            .txd_data(uart_txd_data),
            .txd_ack(uart_txd_ack),
            
            .cs(uart_cs),
            .we(uart_we),
            .address(uart_address),
            .write_data(uart_write_data),
            .read_data(uart_read_data),
            .error(uart_error),

            .debug(uart_debug)
           );

  
  sha1 sha1(
            // Clock and reset.
            .clk(clk),
            .reset_n(reset_n),
            
            // Control.
            .cs(sha1_cs),
            .we(sha1_we),
              
            // Data ports.
            .address(sha1_address),
            .write_data(sha1_write_data),
            .read_data(sha1_read_data),
            .error(sha1_error)
           );

  
  sha256 sha256(
                // Clock and reset.
                .clk(clk),
                .reset_n(reset_n),
                
                // Control.
                .cs(sha256_cs),
                .we(sha256_we),
              
                // Data ports.
                .address(sha256_address),
                .write_data(sha256_write_data),
                .read_data(sha256_read_data),
                .error(sha256_error)
               );

  
  sha512 sha512(
                // Clock and reset.
                .clk(clk),
                .reset_n(reset_n),

                // Control.
                .cs(sha512_cs),
                .we(sha512_we),

                // Data ports.
                .address(sha512_address),
                .write_data(sha512_write_data),
                .read_data(sha512_read_data),
                .error(sha512_error)
               );


  //----------------------------------------------------------------
  // address_mux
  //
  // Combinational data mux that handles addressing between
  // cores using the 32-bit memory like interface.
  //----------------------------------------------------------------
  always @*
    begin : address_mux
      // Default assignments.
      coretest_read_data = 32'h00000000;
      coretest_error     = 0;

      uart_cs            = 0;
      uart_we            = 0;
      uart_address       = 8'h00;
      uart_write_data    = 32'h00000000;

      sha1_cs            = 0;
      sha1_we            = 0;
      sha1_address       = 8'h00;
      sha1_write_data    = 32'h00000000;

      sha256_cs          = 0;
      sha256_we          = 0;
      sha256_address     = 8'h00;
      sha256_write_data  = 32'h00000000;

      sha512_cs          = 0;
      sha512_we          = 0;
      sha512_address     = 8'h00;
      sha512_write_data  = 32'h00000000;


      case (coretest_address[15 : 8])
        UART_ADDR_PREFIX:
          begin
            uart_cs            = coretest_cs;
            uart_we            = coretest_we;
            uart_address       = coretest_address[7 : 0];
            uart_write_data    = coretest_write_data;
            coretest_read_data = uart_read_data;
            coretest_error     = uart_error;
          end

        
        SHA1_ADDR_PREFIX:
          begin
            sha1_cs            = coretest_cs;
            sha1_we            = coretest_we;
            sha1_address       = coretest_address[7 : 0];
            sha1_write_data    = coretest_write_data;
            coretest_read_data = sha1_read_data;
            coretest_error     = sha1_error;
          end

        
        SHA256_ADDR_PREFIX:
          begin
            sha256_cs          = coretest_cs;
            sha256_we          = coretest_we;
            sha256_address     = coretest_address[7 : 0];
            sha256_write_data  = coretest_write_data;
            coretest_read_data = sha256_read_data;
            coretest_error     = sha256_error;
          end


        SHA512_ADDR_PREFIX:
          begin
            sha512_cs          = coretest_cs;
            sha512_we          = coretest_we;
            sha512_address     = coretest_address[7 : 0];
            sha512_write_data  = coretest_write_data;
            coretest_read_data = sha512_read_data;
            coretest_error     = sha512_error;
          end
        
        
        default:
          begin
          end
      endcase // case (coretest_address[15 : 8])
    end // address_mux
  
endmodule // coretest_hashes

//======================================================================
// EOF coretest_hashes.v
//======================================================================