aboutsummaryrefslogtreecommitdiff
path: root/xdc/alpha_fmc_timing.xdc
diff options
context:
space:
mode:
authorPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2020-01-23 11:57:57 +0300
committerPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2020-01-23 13:12:01 +0300
commit5c415d26083854b7f784122b95d2565ba6fe73d0 (patch)
treef6ef82c60841416251bbf2cef6981b8130a9097e /xdc/alpha_fmc_timing.xdc
parent35359243a63cac4a9e8cce6bd718f17756ce8a98 (diff)
Out of curiosity I tried compiling the bitstream with Vivado. These constraints
may come handy if you're brave enough to try this at home.
Diffstat (limited to 'xdc/alpha_fmc_timing.xdc')
-rw-r--r--xdc/alpha_fmc_timing.xdc1
1 files changed, 1 insertions, 0 deletions
diff --git a/xdc/alpha_fmc_timing.xdc b/xdc/alpha_fmc_timing.xdc
new file mode 100644
index 0000000..e311270
--- /dev/null
+++ b/xdc/alpha_fmc_timing.xdc
@@ -0,0 +1 @@
+create_clock -period 22.222 -name clk_fmc -waveform {0.000 11.111} [get_ports clk_fmc]