From 5c415d26083854b7f784122b95d2565ba6fe73d0 Mon Sep 17 00:00:00 2001 From: "Pavel V. Shatov (Meister)" Date: Thu, 23 Jan 2020 11:57:57 +0300 Subject: Out of curiosity I tried compiling the bitstream with Vivado. These constraints may come handy if you're brave enough to try this at home. --- xdc/alpha_fmc_timing.xdc | 1 + 1 file changed, 1 insertion(+) create mode 100644 xdc/alpha_fmc_timing.xdc (limited to 'xdc/alpha_fmc_timing.xdc') diff --git a/xdc/alpha_fmc_timing.xdc b/xdc/alpha_fmc_timing.xdc new file mode 100644 index 0000000..e311270 --- /dev/null +++ b/xdc/alpha_fmc_timing.xdc @@ -0,0 +1 @@ +create_clock -period 22.222 -name clk_fmc -waveform {0.000 11.111} [get_ports clk_fmc] -- cgit v1.2.3