aboutsummaryrefslogtreecommitdiff
path: root/rtl/modexpng_part_recombinator.v
blob: db4774bd780b2098de11d78b4c78fc83a251f073 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
module modexpng_part_recombinator
(
    clk,
    rdy,
    fsm_state_next,
    index_last,
    dsp_x_ce_p, dsp_y_ce_p,
    ena_x,   ena_y,
    dsp_x_p, dsp_y_p,
    col_index, col_index_last, slim_bram_xy_addr,
    fat_bram_xy_bank, fat_bram_xy_addr, fat_bram_x_dout, fat_bram_y_dout, fat_bram_xy_dout_valid
);


    //
    // Headers
    //
    `include "../rtl/modexpng_mmm_fsm.vh"
    `include "../rtl/modexpng_parameters.vh"
    `include "../rtl/modexpng_parameters_x8.vh"


    input                        clk;
    output                       rdy;
    input  [FSM_STATE_WIDTH-1:0] fsm_state_next;
    input [7:0]                  index_last;
    input                        dsp_x_ce_p;
    input                        dsp_y_ce_p;
    input                        ena_x;
    input                        ena_y;
    input  [8*47-1:0] dsp_x_p;
    input  [8*47-1:0] dsp_y_p;
    input  [     4:0] col_index;
    input  [     4:0] col_index_last;
    input  [     7:0] slim_bram_xy_addr;

    output [     2:0] fat_bram_xy_bank;
    output [     7:0] fat_bram_xy_addr;
    output [    17:0] fat_bram_x_dout;
    output [    17:0] fat_bram_y_dout;
    output            fat_bram_xy_dout_valid;


    //
    // Latches
    //
    reg  [1*47-1:0] dsp_x_p_latch[0:7];
    reg  [1*47-1:0] dsp_y_p_latch[0:7];


    //
    // Mapping
    //
    wire [46:0] dsp_x_p_split[0:7];
    wire [46:0] dsp_y_p_split[0:7];
    
    genvar z;
    generate for (z=0; z<NUM_MULTS; z=z+1)
        begin : gen_dsp_xy_p_split
            assign dsp_x_p_split[z] = dsp_x_p[47*z+:47];
            assign dsp_y_p_split[z] = dsp_y_p[47*z+:47];
        end
    endgenerate


    //
    // Delays
    //
    reg dsp_y_ce_p_dly1 = 1'b0;
    reg dsp_x_ce_p_dly1 = 1'b0;

    always @(posedge clk) begin
        //
        {dsp_y_ce_p_dly1, dsp_x_ce_p_dly1} <= {dsp_y_ce_p,      dsp_x_ce_p};
        //
    end


    //
    // Registers
    //
    
    // valid
    reg       x_valid_lsb = 1'b0;
    reg       y_valid_lsb = 1'b0;
    reg       x_valid_msb = 1'b0;
    reg       y_valid_msb = 1'b0;
    
    // bitmap
    reg [7:0] x_bitmap_lsb = {8{1'b0}};
    reg [7:0] y_bitmap_lsb = {8{1'b0}};
    reg [7:0] x_bitmap_msb = {8{1'b0}};
    reg [7:0] y_bitmap_msb = {8{1'b0}};
    
    // index
    reg [2:0] x_index_lsb = 3'dX;
    reg [2:0] y_index_lsb = 3'dX;
    
    // purge
    reg       x_purge_lsb = 1'b0;
    reg       y_purge_lsb = 1'b0;
    reg       x_purge_msb = 1'b0;
    reg       y_purge_msb = 1'b0;
    
    // valid - latch
    reg       x_valid_latch_lsb = 1'b0;
    reg       y_valid_latch_lsb = 1'b0;
    
    // bitmap - latch
    reg [7:0] x_bitmap_latch_lsb = {8{1'b0}};
    reg [7:0] y_bitmap_latch_lsb = {8{1'b0}};
    reg [7:0] x_bitmap_latch_msb = {8{1'b0}};
    reg [7:0] y_bitmap_latch_msb = {8{1'b0}};

    // index - latch
    reg [2:0] x_index_latch_lsb = 3'dX;
    reg [2:0] y_index_latch_lsb = 3'dX;
    
    // purge - index
    reg       x_purge_latch_lsb = 1'b0;
    reg       y_purge_latch_lsb = 1'b0;
    reg       x_purge_latch_msb = 1'b0;
    reg       y_purge_latch_msb = 1'b0;

    // 
    reg       xy_valid_lsb_adv[1:6];
    reg       xy_valid_msb_adv[1:6];
    reg [7:0] xy_bitmap_lsb_adv[1:6];
    reg [7:0] xy_bitmap_msb_adv[1:6];
    reg [2:0] xy_index_lsb_adv[1:6];
    reg [2:0] xy_index_msb_adv[1:6];
    reg       xy_purge_lsb_adv[1:6];
    reg       xy_purge_msb_adv[1:6];
    
    
    integer i;
    initial for (i=1; i<6; i=i+1) begin
        xy_valid_lsb_adv[i] = 1'b0;
        xy_valid_msb_adv[i] = 1'b0;
        xy_bitmap_lsb_adv[i] = {8{1'b0}};
        xy_bitmap_msb_adv[i] = {8{1'b0}};
        xy_index_lsb_adv[i] = 3'dX;
        xy_index_msb_adv[i] = 3'dX;
        xy_purge_lsb_adv[i] = 1'b0;
        xy_purge_msb_adv[i] = 1'b0;
    end
    
    function  [0:0] calc_square_valid_lsb;
        input [4:0] col_index_value;
        input [4:0] col_index_last_value;
        input [7:0] slim_bram_xy_addr_value;
        begin
            //
            if (slim_bram_xy_addr_value[7:3] == col_index_value)
                calc_square_valid_lsb = 1'b1;
            else
                calc_square_valid_lsb = 1'b0;
            //
        end
    endfunction
    
    function  [7:0] calc_square_bitmap_lsb;
        input [4:0] col_index_value;
        input [4:0] col_index_last_value;
        input [7:0] slim_bram_xy_addr_value;
        begin
            //
            if (slim_bram_xy_addr_value[7:3] == col_index_value)
                //
                case (slim_bram_xy_addr_value[2:0])
                    3'b000: calc_square_bitmap_lsb = 8'b00000001;
                    3'b001: calc_square_bitmap_lsb = 8'b00000010;
                    3'b010: calc_square_bitmap_lsb = 8'b00000100;
                    3'b011: calc_square_bitmap_lsb = 8'b00001000;
                    3'b100: calc_square_bitmap_lsb = 8'b00010000;
                    3'b101: calc_square_bitmap_lsb = 8'b00100000;
                    3'b110: calc_square_bitmap_lsb = 8'b01000000;
                    3'b111: calc_square_bitmap_lsb = 8'b10000000;
                endcase
                //
            else
                calc_square_bitmap_lsb = {8{1'b0}};
            //
        end
    endfunction

    function  [2:0] calc_square_index_lsb;
        input [4:0] col_index_value;
        input [4:0] col_index_last_value;
        input [7:0] slim_bram_xy_addr_value;
        begin
            //
            if (slim_bram_xy_addr_value[7:3] == col_index_value)
                //
                case (slim_bram_xy_addr_value[2:0])
                    3'b000: calc_square_index_lsb = 3'd0;
                    3'b001: calc_square_index_lsb = 3'd1;
                    3'b010: calc_square_index_lsb = 3'd2;
                    3'b011: calc_square_index_lsb = 3'd3;
                    3'b100: calc_square_index_lsb = 3'd4;
                    3'b101: calc_square_index_lsb = 3'd5;
                    3'b110: calc_square_index_lsb = 3'd6;
                    3'b111: calc_square_index_lsb = 3'd7;
                endcase
                //
            else
                calc_square_index_lsb = 3'dX;
            //
        end
    endfunction
    
    function        calc_square_purge_lsb;
        input [4:0] col_index_value;
        input [4:0] col_index_last_value;
        input [7:0] slim_bram_xy_addr_value;
        begin
            //
            if (slim_bram_xy_addr_value[7:3] == col_index_value)
                calc_square_purge_lsb = slim_bram_xy_addr_value[7:3] == col_index_last_value;
            else
                calc_square_purge_lsb = 1'b0;
            //
        end
    endfunction

    function        calc_square_valid_msb;
        input [4:0] col_index_value;
        input [4:0] col_index_last_value;
        input [7:0] slim_bram_xy_addr_value;
        input [7:0] index_last_value;
        begin
            //
            if (slim_bram_xy_addr_value == index_last_value)
                calc_square_valid_msb = 1'b1;
            else
                calc_square_valid_msb = 1'b0;
            //
        end
    endfunction
    
    function  [7:0] calc_square_bitmap_msb;
        input [4:0] col_index_value;
        input [4:0] col_index_last_value;
        input [7:0] slim_bram_xy_addr_value;
        input [7:0] index_last_value;
        begin
            //
            if (slim_bram_xy_addr_value == index_last_value) begin
                calc_square_bitmap_msb[7] = col_index_value != col_index_last_value;
                calc_square_bitmap_msb[6:0] = 7'b1111111;
            end else
                calc_square_bitmap_msb[7:0] = 8'b00000000;
            //
        end
    endfunction

    function        calc_square_purge_msb;
        input [4:0] col_index_value;
        input [4:0] col_index_last_value;
        input [7:0] slim_bram_xy_addr_value;
        input [7:0] index_last_value;
        begin
            //
            if (slim_bram_xy_addr_value == index_last_value)
                calc_square_purge_msb = col_index_value == col_index_last_value;
            else
                calc_square_purge_msb = 1'b0;
            //
        end
    endfunction

    
    reg         recomb_lsb_ce = 1'b0;
    reg  [ 2:0] recomb_lsb_ce_purge = 3'b000;
    wire        recomb_lsb_ce_combined = recomb_lsb_ce | recomb_lsb_ce_purge[0];
    reg         recomb_lsb_clr;
    reg         recomb_lsb_vld = 1'b0;

    reg  [46:0] recomb_lsb_din;
    wire [15:0] recomb_lsb_dout;

    reg         recomb_msb_ce = 1'b0;
    reg  [ 1:0] recomb_msb_ce_purge = 2'b00;
    wire        recomb_msb_ce_combined = recomb_msb_ce | recomb_msb_ce_purge[0];
    reg         recomb_msb_clr;
    reg         recomb_msb_vld = 1'b0;
    
    always @(posedge clk)
        //
        {recomb_msb_vld, recomb_lsb_vld} <= {recomb_msb_ce_combined, recomb_lsb_ce_combined};

    reg  [46:0] recomb_msb_din;
    wire [15:0] recomb_msb_dout;
    
    modexpng_recombinator_block recomb_x_lsb
    (
        .clk    (clk),
        .ce     (recomb_lsb_ce_combined),
        .clr    (recomb_lsb_clr),
        .din    (recomb_lsb_din),
        .dout   (recomb_lsb_dout)
    );

    modexpng_recombinator_block recomb_x_msb
    (
        .clk    (clk),
        .ce     (recomb_msb_ce_combined),
        .clr    (recomb_msb_clr),
        .din    (recomb_msb_din),
        .dout   (recomb_msb_dout)
    );

    always @(posedge clk) begin
        //
        recomb_lsb_ce <= x_valid_latch_lsb;
        recomb_msb_ce <= x_bitmap_latch_msb[0];
        //
        if (x_purge_latch_lsb)
            recomb_lsb_ce_purge <= 3'b111;
        else
            recomb_lsb_ce_purge <= {1'b0, recomb_lsb_ce_purge[2:1]};
        //
        if (x_purge_latch_msb && x_bitmap_latch_msb[0] && !x_bitmap_latch_msb[1])
            recomb_msb_ce_purge = 2'b11;
        else
            recomb_msb_ce_purge <= {1'b0, recomb_msb_ce_purge[1]};
        //
    end


    always @(posedge clk)
        //
        if (ena_x & ena_y) begin
            recomb_lsb_clr <= 1'b1;
            recomb_msb_clr <= 1'b1;
        end else begin
            if (recomb_lsb_ce) recomb_lsb_clr <= 1'b0;
            if (recomb_msb_ce) recomb_msb_clr <= 1'b0;
        end

    always @(posedge clk)
        //
        if (x_valid_latch_lsb)
            recomb_lsb_din <= dsp_x_p_latch[x_index_latch_lsb];
        else
            recomb_lsb_din <= {47{1'b0}};

    always @(posedge clk)
        //
        if (x_bitmap_latch_msb[0])
            recomb_msb_din <= dsp_x_p_latch[0];
        else
            recomb_msb_din <= {47{1'b0}};


    always @(posedge clk)
        //
        case (fsm_state_next)
            //
            FSM_STATE_MULT_SQUARE_COL_0_TRIG,
            FSM_STATE_MULT_SQUARE_COL_N_TRIG,
            FSM_STATE_MULT_SQUARE_COL_0_BUSY,
            FSM_STATE_MULT_SQUARE_COL_N_BUSY: begin
                //
                xy_valid_lsb_adv [6] <= calc_square_valid_lsb (col_index, col_index_last, slim_bram_xy_addr);
                xy_bitmap_lsb_adv[6] <= calc_square_bitmap_lsb(col_index, col_index_last, slim_bram_xy_addr);
                xy_index_lsb_adv [6] <= calc_square_index_lsb (col_index, col_index_last, slim_bram_xy_addr);
                xy_purge_lsb_adv [6] <= calc_square_purge_lsb (col_index, col_index_last, slim_bram_xy_addr);
                //
                xy_valid_msb_adv [6] <= calc_square_valid_msb (col_index, col_index_last, slim_bram_xy_addr, index_last);
                xy_bitmap_msb_adv[6] <= calc_square_bitmap_msb(col_index, col_index_last, slim_bram_xy_addr, index_last);
                xy_purge_msb_adv [6] <= calc_square_purge_msb (col_index, col_index_last, slim_bram_xy_addr, index_last);
                //
            end
            //
            default: begin
                //
                xy_valid_lsb_adv [6] <= 1'b0;
                xy_bitmap_lsb_adv[6] <= {8{1'b0}};
                xy_index_lsb_adv [6] <= 3'dX;
                xy_purge_lsb_adv [6] <= 1'b0;
                //
                xy_valid_msb_adv [6] <= 1'b0;
                xy_bitmap_msb_adv[6] <= {8{1'b0}};
                xy_purge_msb_adv [6] <= 1'b0;
                //
            end
            //
        endcase


    always @(posedge clk) begin
        //
        {y_valid_lsb,  x_valid_lsb}  <= {2{xy_valid_lsb_adv [1]}};
        {y_bitmap_lsb, x_bitmap_lsb} <= {2{xy_bitmap_lsb_adv[1]}};
        {y_index_lsb,  x_index_lsb}  <= {2{xy_index_lsb_adv [1]}};
        {y_purge_lsb,  x_purge_lsb}  <= {2{xy_purge_lsb_adv [1]}};
        //
        {y_valid_latch_lsb,  x_valid_latch_lsb}  <= {y_valid_lsb,  x_valid_lsb};
        {y_bitmap_latch_lsb, x_bitmap_latch_lsb} <= {y_bitmap_lsb, x_bitmap_lsb};
        {y_index_latch_lsb,  x_index_latch_lsb}  <= {y_index_lsb,  x_index_lsb};
        {y_purge_latch_lsb,  x_purge_latch_lsb}  <= {y_purge_lsb,  x_purge_lsb};
        //
        {y_valid_msb,  x_valid_msb}  <= {2{xy_valid_msb_adv[1]}};
        {y_bitmap_msb, x_bitmap_msb} <= {2{xy_bitmap_msb_adv[1]}};
        {y_purge_msb,  x_purge_msb}  <= {2{xy_purge_msb_adv[1]}};
        //
        if (x_valid_msb) begin
            x_bitmap_latch_msb <= x_bitmap_msb;
            x_purge_latch_msb  <= x_purge_msb;
        end else begin
            x_bitmap_latch_msb <= {1'b0, x_bitmap_latch_msb[7:1]};
        end
        //
        //
        for (i=1; i<6; i=i+1) begin
            xy_valid_lsb_adv [i] <= xy_valid_lsb_adv [i+1];
            xy_bitmap_lsb_adv[i] <= xy_bitmap_lsb_adv[i+1];
            xy_index_lsb_adv [i] <= xy_index_lsb_adv [i+1];
            xy_purge_lsb_adv [i] <= xy_purge_lsb_adv [i+1];
            //
            xy_valid_msb_adv [i] <= xy_valid_msb_adv [i+1];
            xy_bitmap_msb_adv[i] <= xy_bitmap_msb_adv[i+1];
            xy_purge_msb_adv [i] <= xy_purge_msb_adv [i+1];
        end
        //
    end

    always @(posedge clk)
        //
        if (x_bitmap_latch_msb[1])   // only shift 7 times
            //
            for (i=0; i<8; i=i+1)            
                if (i < 7)
                    dsp_x_p_latch[i] <= dsp_x_p_latch[i+1];
                else
                    dsp_x_p_latch[i] <= {47{1'bX}};
            //
        else if (dsp_x_ce_p_dly1)
            //
            for (i=0; i<8; i=i+1)
                //
                if (x_bitmap_lsb[i])
                    dsp_x_p_latch[i] <= dsp_x_p_split[i];
                else if (x_valid_msb && x_bitmap_msb[i])
                    dsp_x_p_latch[i] <= dsp_x_p_split[i];

    reg recomb_x_lsb_dout_valid = 1'b0;
    reg recomb_x_msb_dout_valid = 1'b0;

    always @(posedge clk) begin
        recomb_x_lsb_dout_valid <= recomb_lsb_ce_combined;
        recomb_x_msb_dout_valid <= recomb_msb_ce_combined;
    end
        


    reg [ 2:0] fat_bram_xy_bank_reg;
    reg [ 7:0] fat_bram_xy_addr_reg;
    reg [ 7:0] fat_bram_xy_cnt_lsb;
    reg [ 7:0] fat_bram_xy_cnt_msb;
    reg [17:0] fat_bram_x_dout_reg;
    reg [17:0] fat_bram_y_dout_reg;
    reg        fat_bram_xy_dout_valid_reg = 1'b0;

    reg [15:0] recomb_msb_dout_carry_0;
    reg [15:0] recomb_msb_dout_carry_1;
    
    reg [15:0] recomb_msb_dout_delay_0;
    reg [15:0] recomb_msb_dout_delay_1;
    reg [15:0] recomb_msb_dout_delay_2;
    
    reg [ 7:0] recomb_msb_cnt_delay_0 = 8'd0;
    reg [ 7:0] recomb_msb_cnt_delay_1 = 8'd0;
    reg [ 7:0] recomb_msb_cnt_delay_2 = 8'd0;

    assign fat_bram_xy_bank       = fat_bram_xy_bank_reg;
    assign fat_bram_xy_addr       = fat_bram_xy_addr_reg;
    assign fat_bram_x_dout        = fat_bram_x_dout_reg;
    assign fat_bram_y_dout        = fat_bram_y_dout_reg;
    assign fat_bram_xy_dout_valid = fat_bram_xy_dout_valid_reg;
    
    reg rdy_reg = 1'b1;
    reg rdy_adv = 1'b1;
    
    assign rdy = rdy_reg;
    
    
    always @(posedge clk)
        //
        if (ena_x & ena_y)
            rdy_reg <= 1'b0;
        else
            rdy_reg <= rdy_adv;

    always @(posedge clk)
        //
        if (ena_x & ena_y) begin
            rdy_adv <= 1'b0;
            fat_bram_xy_cnt_lsb <= 8'd0;
            fat_bram_xy_cnt_msb <= 8'd0;
        end else begin
            //
            case ({recomb_x_msb_dout_valid, recomb_x_lsb_dout_valid})
                //
                2'b00: begin
                    //
                    if (recomb_msb_cnt_delay_2 > 8'd0) begin
                        //
                        rdy_adv <= recomb_msb_cnt_delay_1 == 8'd0;
                        //
                        recomb_msb_dout_delay_0 <= {18{1'bX}};
                        recomb_msb_dout_delay_1 <= recomb_msb_dout_delay_0;
                        recomb_msb_dout_delay_2 <= recomb_msb_dout_delay_1;
                        //
                        recomb_msb_cnt_delay_0 <= 8'd0;
                        recomb_msb_cnt_delay_1 <= recomb_msb_cnt_delay_0;
                        recomb_msb_cnt_delay_2 <= recomb_msb_cnt_delay_1;
                        //
                        fat_bram_xy_bank_reg        <= BANK_FAT_ABH;
                        fat_bram_xy_addr_reg        <= recomb_msb_cnt_delay_2;
                        fat_bram_x_dout_reg         <= recomb_msb_dout_delay_2;
//                      fat_bram_y_dout_reg         <= {18{1'bX}};
                        fat_bram_xy_dout_valid_reg  <= 1'b1;
                        //
                    end else begin
                        //
                        fat_bram_xy_bank_reg        <= 3'bXXX;
                        fat_bram_xy_addr_reg        <= 8'hXX;
                        fat_bram_x_dout_reg         <= {18{1'bX}};
                        fat_bram_y_dout_reg         <= {18{1'bX}};
                        fat_bram_xy_dout_valid_reg  <= 1'b0;
                        //
                    end
                    //
                end
                //
                2'b01: begin
                    //
                    fat_bram_xy_bank_reg        <= BANK_FAT_ABL;
                    fat_bram_xy_addr_reg        <= fat_bram_xy_cnt_lsb;
                    fat_bram_x_dout_reg         <= {2'b00, recomb_lsb_dout};
//                  fat_bram_y_dout_reg
                    fat_bram_xy_dout_valid_reg  <= 1'b1;
                    //
                    fat_bram_xy_cnt_lsb         <= fat_bram_xy_cnt_lsb + 1'b1; 
                    //
                end
                //
                2'b10: begin
                    //
                    if (fat_bram_xy_cnt_msb < 8'd2) begin
                        //
                        recomb_msb_dout_carry_0 <= recomb_msb_dout;
                        recomb_msb_dout_carry_1 <= recomb_msb_dout_carry_0;
                        //
                        fat_bram_xy_bank_reg        <= 3'bXXX;
                        fat_bram_xy_addr_reg        <= 8'hXX;
                        fat_bram_x_dout_reg         <= {18{1'bX}};
    //                  fat_bram_y_dout_reg
                        fat_bram_xy_dout_valid_reg  <= 1'b0;
                        //                        
                    end else begin
                        //
                        fat_bram_xy_bank_reg        <= BANK_FAT_ABH;
                        fat_bram_xy_addr_reg        <= fat_bram_xy_cnt_msb;
                        fat_bram_x_dout_reg         <= {2'b00, recomb_msb_dout};
    //                  fat_bram_y_dout_reg
                        fat_bram_xy_dout_valid_reg  <= 1'b1;                        
                        //
                    end
                    //
                    fat_bram_xy_cnt_msb         <= fat_bram_xy_cnt_msb + 1'b1;
                    //                
                end
                //
                2'b11: begin
                    //
                    if (fat_bram_xy_cnt_lsb == index_last) begin
                        //
                        fat_bram_xy_bank_reg        <= BANK_FAT_ABL;
                        fat_bram_xy_addr_reg        <= fat_bram_xy_cnt_lsb;
                        fat_bram_x_dout_reg         <= {2'b00, recomb_lsb_dout};
//                      fat_bram_y_dout_reg         <= {18{1'bX}};
                        fat_bram_xy_dout_valid_reg  <= 1'b1;
                        //
                        fat_bram_xy_cnt_lsb         <= 8'd0;
                        //
                    end else begin
                        //
                        fat_bram_xy_bank_reg        <= BANK_FAT_ABH;
                        fat_bram_xy_addr_reg        <= fat_bram_xy_cnt_lsb;
                        fat_bram_x_dout_reg         <= {1'b0, {1'b0, recomb_lsb_dout} + {1'b0, recomb_msb_dout_carry_1}};
//                      fat_bram_y_dout_reg         <= {18{1'bX}};
                        fat_bram_xy_dout_valid_reg  <= 1'b1;
                        //
                        fat_bram_xy_cnt_lsb         <= fat_bram_xy_cnt_lsb + 1'b1;
                        //
                        recomb_msb_dout_carry_0 <= {16{1'bX}};
                        recomb_msb_dout_carry_1 <= recomb_msb_dout_carry_0;                        
                        //
                    end
                    //
                    recomb_msb_dout_delay_0 <= recomb_msb_dout;
                    recomb_msb_dout_delay_1 <= recomb_msb_dout_delay_0;
                    recomb_msb_dout_delay_2 <= recomb_msb_dout_delay_1;
                    //
                    recomb_msb_cnt_delay_0 <= fat_bram_xy_cnt_msb;
                    recomb_msb_cnt_delay_1 <= recomb_msb_cnt_delay_0;
                    recomb_msb_cnt_delay_2 <= recomb_msb_cnt_delay_1;
                    //
                    fat_bram_xy_cnt_msb         <= fat_bram_xy_cnt_msb + 1'b1;
                    //
                end
                //
            endcase
            //        
        end
    
    
    
    
endmodule