aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_modexp.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/tb/tb_modexp.v')
-rw-r--r--src/tb/tb_modexp.v3
1 files changed, 2 insertions, 1 deletions
diff --git a/src/tb/tb_modexp.v b/src/tb/tb_modexp.v
index 6f9ea19..4b3029e 100644
--- a/src/tb/tb_modexp.v
+++ b/src/tb/tb_modexp.v
@@ -204,7 +204,8 @@ module tb_modexp();
#(CLK_PERIOD);
if (DISPLAY_TEST_CYCLES)
- $display("*** Number of cycles performed during test: 0x%016x", test_cycle_ctr);
+ $display("*** Number of cycles performed during test: 0x%016x",
+ {dut.cycle_ctr_high_reg, dut.cycle_ctr_low_reg});
end
endtask // stop_test_cycle_ctr()