aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_sha1.v
diff options
context:
space:
mode:
authorJoachim StroĢˆmbergson <joachim@assured.se>2020-05-12 13:30:50 +0200
committerJoachim StroĢˆmbergson <joachim@assured.se>2020-05-12 13:30:50 +0200
commitcf6e31e92bedcdd576fd9b08ddeb910e8a62ce00 (patch)
tree55a744fcf48aee6ae1e7c2380726cae7e2524848 /src/tb/tb_sha1.v
parentd3564a0907fe14b92ab02e4da2d9f733bc32e347 (diff)
Minor change. variable names are easier to read.
Diffstat (limited to 'src/tb/tb_sha1.v')
-rw-r--r--src/tb/tb_sha1.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/tb/tb_sha1.v b/src/tb/tb_sha1.v
index f454034..1bc10e8 100644
--- a/src/tb/tb_sha1.v
+++ b/src/tb/tb_sha1.v
@@ -216,8 +216,8 @@ module tb_sha1();
$display("sha1_ctrl_reg = 0x%01x", dut.core.sha1_ctrl_reg);
$display("digest_init = 0x%01x, digest_update = 0x%01x",
dut.core.digest_init, dut.core.digest_update);
- $display("state_init = 0x%01x, state_update = 0x%01x",
- dut.core.state_init, dut.core.state_update);
+ $display("init_state = 0x%01x, update_state = 0x%01x",
+ dut.core.init_state, dut.core.update_state);
$display("first_block = 0x%01x, ready_flag = 0x%01x, w_init = 0x%01x",
dut.core.first_block, dut.core.ready_flag, dut.core.w_init);
$display("round_ctr_inc = 0x%01x, round_ctr_rst = 0x%01x, round_ctr_reg = 0x%02x",