aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_fmc_core_selector.v
blob: c09fc894518798cd412d8d13f2dace20ec7d4ba9 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
//------------------------------------------------------------------------------
//
// tb_fmc_core_selector.v
// -----------------------------------------------------------------------------
// Testbench for fixed latency FMC arbiter.
//
// Authors: Pavel Shatov
//
// Copyright 2018 NORDUnet A/S
// Copyright 2020 The Commons Conservancy Cryptech Project
//
// Redistribution and use in source and binary forms, with or without
// modification, are permitted provided that the following conditions are met:
//
// - Redistributions of source code must retain the above copyright notice,
//   this list of conditions and the following disclaimer.
//
// - Redistributions in binary form must reproduce the above copyright notice,
//   this list of conditions and the following disclaimer in the documentation
//   and/or other materials provided with the distribution.
//
// - Neither the name of the copyright holder nor the names of its
//   contributors may be used to endorse or promote products derived from
//   this software without specific prior written permission.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE
// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
// POSSIBILITY OF SUCH DAMAGE.
//
//------------------------------------------------------------------------------

//------------------------------------------------------------------------------
`timescale 1ns / 1ps
//------------------------------------------------------------------------------

module tb_fmc_core_selector;


    //
    // Settings
    //
    localparam integer NUM_TESTS = 100;
    
    
    //
    // STM32 Settings
    //
    localparam integer STM32_FMC_LATENCY = 6;
    

    //
    // Clock
    //
    
    /* actual hardware uses 45 MHz, we use 50 for convenience */
    
    localparam FMC_CLOCK_PERIOD         = 20.0;
    localparam FMC_CLOCK_PERIOD_HALF    = 0.5 * FMC_CLOCK_PERIOD;
    localparam FMC_CLOCK_PERIOD_QUARTER = 0.5 * FMC_CLOCK_PERIOD_HALF;

    reg fmc_clk = 1'b0;

    initial forever #FMC_CLOCK_PERIOD_HALF fmc_clk = ~fmc_clk;
    

    //
    // Clock Manager
    //    
    wire io_clk;    
    wire sys_clk;
    wire sys_rst_n;
    wire core_clk;
    
    alpha_clkmgr clkmgr_inst
    (
        .fmc_clk     (fmc_clk),

        .io_clk      (io_clk),
        .sys_clk     (sys_clk),
        .sys_rst_n   (sys_rst_n),
        .core_clk    (core_clk)
    );


    //
    // FMC Arbiter - FPGA Side
    //
    wire [23: 0] sys_fmc_addr;
    wire         sys_fmc_wren;
    wire         sys_fmc_rden;
    wire [31: 0] sys_fmc_dout;
    wire [31: 0] sys_fmc_din;


    //
    // FMC Arbiter - STM32 Side
    //
    reg  [23: 0] fmc_a = {24{1'bX}};
    reg  [31: 0] fmc_d_drive;
    wire [31: 0] fmc_d_bidir;
    reg          fmc_ne1 = 1'b1;
    reg          fmc_noe = 1'b1;
    reg          fmc_nwe = 1'b1;
    reg          fmc_nl = 1'b1;
    wire         fmc_nwait_dummy;

    assign fmc_d_bidir = fmc_noe ? fmc_d_drive : 32'hZZZZZZZZ;

    fmc_arbiter #(.NUM_ADDR_BITS(24))
    uut
    (
        // fmc bus
        .fmc_a     (fmc_a),
        .fmc_d     (fmc_d_bidir),
        .fmc_ne1   (fmc_ne1),
        .fmc_nl    (fmc_nl),
        .fmc_nwe   (fmc_nwe),
        .fmc_noe   (fmc_noe),
        .fmc_nwait (fmc_nwait_dummy),

        // system clock, i/o clock
        .io_clk  (io_clk),
        .sys_clk (sys_clk),

        // user bus
        .sys_addr     (sys_fmc_addr),
        .sys_wr_en    (sys_fmc_wren),
        .sys_data_out (sys_fmc_dout),
        .sys_rd_en    (sys_fmc_rden),
        .sys_data_in  (sys_fmc_din)
    );


    //
    // Core Selector
    //
    core_selector core_selector_inst
    (
        .sys_clk        (sys_clk),
        .sys_rst_n      (sys_rst_n),

        .sys_fmc_addr   (sys_fmc_addr),
        .sys_fmc_wr     (sys_fmc_wren),
        .sys_fmc_rd     (sys_fmc_rden),
        .sys_read_data  (sys_fmc_din),
        .sys_write_data (sys_fmc_dout),
        .sys_error      (),
    
        .mkm_sclk       (),
        .mkm_cs_n       (),
        .mkm_do         (1'bZ),
        .mkm_di         (),
        
        .core_clk       (core_clk),

        .noise          (1'bZ),
        .debug          ()
    );


    //
    // "Random" Number Generator
    //
    reg [7:0] lfsr8;
    
    task lfsr8_next;
        lfsr8 = {lfsr8[6:0], lfsr8[8-1] ^ lfsr8[6-1] ^ lfsr8[5-1] ^ lfsr8[4-1]};
    endtask

    task lfsr8_seed;
        input [7:0] lfsr8_in;
        lfsr8 = lfsr8_in;
    endtask
    
    
    //
    // Helper Tasks
    //
    
    //----------------------
    task  wait_quarter_tick;
    //----------------------
        #FMC_CLOCK_PERIOD_QUARTER;
    endtask

    //------------------
    task wait_half_tick;
    //------------------
        begin
            wait_quarter_tick;
            wait_quarter_tick;
        end
    endtask

    //------------------
    task wait_full_tick;
    //------------------
        begin
            wait_half_tick;
            wait_half_tick;
        end
    endtask

    //----------------
    task wait_n_ticks;
    //----------------
        input integer n;
        integer i;
        for (i=0; i<n; i=i+1)
            wait_full_tick;
    endtask

    //-------------
    task fmc_write;
    //-------------
        input [15: 0] core;
        input [ 7: 0] offset;
        input [31: 0] data;
        begin
            fmc_ne1 = 1'b0;                     // select
            fmc_nl = 1'b0;                      // set latch flag
            fmc_a = {core, offset};             // set address
            fmc_nwe = 1'b0;                     // set write-enable
            wait_full_tick();                   // mimic latency

            fmc_nl = 1'b1;                      // clear latch flag
            fmc_a = {24{1'bX}};                 // clear address
            wait_n_ticks(STM32_FMC_LATENCY);    // mimic latency

            fmc_d_drive = data;                 // set data            

            wait_half_tick();                   // mimic latency
            wait_quarter_tick();

            fmc_ne1 = 1'b1;                     // deselect
            fmc_nwe = 1'b1;                     // clear write-enable
            fmc_d_drive = 32'hXXXXXXXX;         // clear data

            wait_quarter_tick();                // finish clock period
            wait_full_tick();                   // pause
        end
    endtask

    //------------
    task fmc_read;
    //------------
        input  [15: 0] core;
        input  [ 7: 0] offset;
        output [31: 0] data;
        begin
            fmc_ne1 = 1'b0;                     // select
            fmc_nl = 1'b0;                      // set latch flag
            fmc_a = {core, offset};             // set address

            wait_full_tick();                   // mimic latency

            fmc_nl = 1'b1;                      // clear latch flag
            fmc_a = {24{1'bX}};                 // clear address
            wait_full_tick();                   // mimic latency
            fmc_noe = 1'b0;                     // tri-state bus
            wait_n_ticks(STM32_FMC_LATENCY-1);  // mimic latency

            wait_half_tick();                   // mimic latency
            data = fmc_d_bidir;                 // sample data
            wait_half_tick();                   // mimic latency
            
            wait_full_tick();                   // mimic latency
            
            wait_half_tick();                   // mimic latency
            wait_quarter_tick();

            fmc_ne1 = 1'b1;                     // deselect
            fmc_noe = 1'b1;                     // drive bus

            wait_quarter_tick();                // finish clock period
            wait_full_tick();                   // pause
        end
    endtask
    
    //----------------
    task gen_rnd_addr;
    //----------------
        output [31:0] addr;
        reg [7:0] a, b, c;
        begin
            lfsr8_next; a = lfsr8;
            lfsr8_next; b = lfsr8;
            lfsr8_next; c = lfsr8;
            addr = {a, b, c};
        end
    endtask
    
    //----------------
    task gen_rnd_data;
    //----------------
        output [31:0] data;
        reg [7:0] a, b, c, d;
        begin
            lfsr8_next; a = lfsr8;
            lfsr8_next; b = lfsr8;
            lfsr8_next; c = lfsr8;
            lfsr8_next; d = lfsr8;
            data = {a, b, c, d};
        end
    endtask

    
    //
    // Script
    //
    reg [23:0] addr;
    reg [31:0] data_wr;
    reg [31:0] data_rd;
    integer i;
    initial begin

        // let all signals initialize
        wait_full_tick;

        // wait for reset to complete
        while (!sys_rst_n) begin
            wait_full_tick;
        end
        
        // wait some more time
        wait_n_ticks(100);        

        // do tests
        lfsr8_seed(8'hA5);
        for (i=0; i<NUM_TESTS; i=i+1) begin
            gen_rnd_data(data_wr);
            fmc_write(16'h0000, 8'd255, data_wr);
            fmc_read(16'h0000, 8'd255, data_rd);
            $display("Read %04d/%04d: 0x%x | 0x%x", i+1, NUM_TESTS, data_rd, data_wr);
            if (data_rd !== data_wr) begin
                $display("ERROR");
                $finish;
            end
            gen_rnd_data(data_wr);
            fmc_write(16'h0037, 8'd15, data_wr);
            fmc_read(16'h0037, 8'd15, data_rd);
            $display("                0x%x | 0x%x", data_rd, data_wr);
            if (data_rd !== data_wr) begin
                $display("ERROR");
                $finish;
            end
        end
        
        $display("All tests passed. [OK]");
        $finish;

    end
    
        
endmodule


//------------------------------------------------------------------------------
// End-of-File
//------------------------------------------------------------------------------