aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorPaul Selkirk <paul@psgd.org>2015-11-12 16:46:39 -0500
committerPaul Selkirk <paul@psgd.org>2015-11-12 16:46:39 -0500
commit808618d2ca5628d5e173ade378dc12d60dceda2e (patch)
tree0ec50bd1ead208cabea0013a2a6ae9ccdf6b7f39
parentfb17b78136c9e3efe54ac55ab08086256b3a0944 (diff)
Change reset to active-low.
-rw-r--r--src/rtl/fmc_indicator.v4
-rw-r--r--src/rtl/fmc_regs.v2
2 files changed, 3 insertions, 3 deletions
diff --git a/src/rtl/fmc_indicator.v b/src/rtl/fmc_indicator.v
index a802a59..b687cac 100644
--- a/src/rtl/fmc_indicator.v
+++ b/src/rtl/fmc_indicator.v
@@ -39,7 +39,7 @@
module fmc_indicator
(
input wire sys_clk,
- input wire sys_rst,
+ input wire sys_rst_n,
input wire fmc_active,
output wire led_out
);
@@ -56,7 +56,7 @@ module fmc_indicator
always @(posedge sys_clk)
//
- if (sys_rst) cnt <= {CNT_BITS{1'b0}};
+ if (!sys_rst_n) cnt <= {CNT_BITS{1'b0}};
else if (cnt > {CNT_BITS{1'b0}}) cnt <= cnt - 1'b1;
else if (fmc_active) cnt <= {CNT_BITS{1'b1}};
diff --git a/src/rtl/fmc_regs.v b/src/rtl/fmc_regs.v
index 8f740e3..0e50f2c 100644
--- a/src/rtl/fmc_regs.v
+++ b/src/rtl/fmc_regs.v
@@ -40,7 +40,7 @@ module comm_regs
(
// Clock and reset.
input wire clk,
- input wire rst,
+ input wire reset_n,
// Control.
input wire cs,