aboutsummaryrefslogtreecommitdiff
path: root/rtl/modexpng_storage_block.v
blob: d6f9fb1555b398a6e9d6a65e9f02c74baf85e0c2 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
module modexpng_storage_block
(
    clk, rst,
    
    wr_wide_xy_ena,
    wr_wide_xy_bank,
    wr_wide_xy_addr,
    wr_wide_x_din,
    wr_wide_y_din,

    wr_narrow_xy_ena,
    wr_narrow_xy_bank,
    wr_narrow_xy_addr,
    wr_narrow_x_din,
    wr_narrow_y_din,

    rd_wide_xy_ena,
    rd_wide_xy_ena_aux,
    rd_wide_xy_bank,
    rd_wide_xy_bank_aux,
    rd_wide_xy_addr,
    rd_wide_xy_addr_aux,
    rd_wide_x_dout,
    rd_wide_y_dout,
    rd_wide_x_dout_aux,
    rd_wide_y_dout_aux,
    
    rd_narrow_xy_ena,
    rd_narrow_xy_bank,
    rd_narrow_xy_addr,
    rd_narrow_x_dout,
    rd_narrow_y_dout
);


    //
    // Headers
    //
    `include "../rtl_1/modexpng_parameters_x8_old.vh"


    //
    // Ports
    //
    input         clk;
    input           rst;

    input         wr_wide_xy_ena;
    input  [ 1:0] wr_wide_xy_bank;
    input  [ 7:0] wr_wide_xy_addr;
    input  [17:0] wr_wide_x_din;
    input  [17:0] wr_wide_y_din;
    
    input         wr_narrow_xy_ena;
    input  [ 1:0] wr_narrow_xy_bank;
    input  [ 7:0] wr_narrow_xy_addr;
    input  [17:0] wr_narrow_x_din;
    input  [17:0] wr_narrow_y_din;

    input                     rd_wide_xy_ena;
    input                     rd_wide_xy_ena_aux;
    input  [             1:0] rd_wide_xy_bank;
    input  [             1:0] rd_wide_xy_bank_aux;
    input  [ 8*NUM_MULTS/2-1:0] rd_wide_xy_addr;
    input  [           8-1:0] rd_wide_xy_addr_aux;
    output [18*NUM_MULTS/2-1:0] rd_wide_x_dout;
    output [18*NUM_MULTS/2-1:0] rd_wide_y_dout;
    output [          18-1:0] rd_wide_x_dout_aux;
    output [          18-1:0] rd_wide_y_dout_aux;
    
    input                     rd_narrow_xy_ena;
    input  [             1:0] rd_narrow_xy_bank;
    input  [ 7:0] rd_narrow_xy_addr;
    output [18-1:0] rd_narrow_x_dout;
    output [18-1:0] rd_narrow_y_dout;

    
    //
    // Internal Registers
    //
    reg rd_wide_xy_reg_ena     = 1'b0;
    reg rd_wide_xy_reg_ena_aux = 1'b0;
    reg rd_narrow_xy_reg_ena   = 1'b0;

    always @(posedge clk) begin
        //
        rd_wide_xy_reg_ena     <= rst ? 1'b0 : rd_wide_xy_ena;
        rd_wide_xy_reg_ena_aux <= rst ? 1'b0 : rd_wide_xy_ena_aux;
        rd_narrow_xy_reg_ena   <= rst ? 1'b0 : rd_narrow_xy_ena;
        //
    end

    
    //
    // Helper Signals
    //
    wire [2+8-1:0] wr_wide_xy_offset;
    wire [2+8-1:0] rd_wide_xy_offset[0:NUM_MULTS/2-1];
    wire [2+8-1:0] rd_wide_xy_offset_aux;
    wire [2+8-1:0] wr_narrow_xy_offset;
    wire [2+8-1:0] rd_narrow_xy_offset;

    assign wr_wide_xy_offset     = {wr_wide_xy_bank,     wr_wide_xy_addr};
    assign rd_wide_xy_offset_aux = {rd_wide_xy_bank_aux, rd_wide_xy_addr_aux};
    assign wr_narrow_xy_offset   = {wr_narrow_xy_bank,   wr_narrow_xy_addr};
    assign rd_narrow_xy_offset   = {rd_narrow_xy_bank,   rd_narrow_xy_addr};
    

    //
    // "Wide" Storage
    //
    genvar z;
    generate for (z=0; z<(NUM_MULTS/2); z=z+1)
        begin : gen_wide_bram
            //
            assign rd_wide_xy_offset[z] = {rd_wide_xy_bank, rd_wide_xy_addr[8*z+:8]};
            //
            ip_bram_18k wide_bram_x
            (
                .clka   (clk),
                .clkb   (clk),
                
                .ena    (wr_wide_xy_ena),
                .wea    (wr_wide_xy_ena),
                .addra  (wr_wide_xy_offset),
                .dina   (wr_wide_x_din),
                
                .enb    (rd_wide_xy_ena),
                .regceb (rd_wide_xy_reg_ena),
                .addrb  (rd_wide_xy_offset[z]),
                .doutb  (rd_wide_x_dout[18*z+:18])
            );
            //
            ip_bram_18k wide_bram_y
            (
                .clka   (clk),
                .clkb   (clk),

                .ena    (wr_wide_xy_ena),
                .wea    (wr_wide_xy_ena),
                .addra  (wr_wide_xy_offset),
                .dina   (wr_wide_y_din),
            
                .enb    (rd_wide_xy_ena),
                .regceb (rd_wide_xy_reg_ena),
                .addrb  (rd_wide_xy_offset[z]),
                .doutb  (rd_wide_y_dout[18*z+:18])
            );
            //
        end
    endgenerate

    
    //
    // Auxilary Storage
    //
    ip_bram_18k wide_bram_x_aux
    (
        .clka   (clk),
        .clkb   (clk),

        .ena    (wr_wide_xy_ena),
        .wea    (wr_wide_xy_ena),
        .addra  (wr_wide_xy_offset),
        .dina   (wr_wide_x_din),

        .enb    (rd_wide_xy_ena_aux),
        .regceb (rd_wide_xy_reg_ena_aux),
        .addrb  (rd_wide_xy_offset_aux),
        .doutb  (rd_wide_x_dout_aux)
    );
    //
    ip_bram_18k wide_bram_y_aux
    (
        .clka   (clk),
        .clkb   (clk),

        .ena    (wr_wide_xy_ena),
        .wea    (wr_wide_xy_ena),
        .addra  (wr_wide_xy_offset),
        .dina   (wr_wide_y_din),

        .enb    (rd_wide_xy_ena_aux),
        .regceb (rd_wide_xy_reg_ena_aux),
        .addrb  (rd_wide_xy_offset_aux),
        .doutb  (rd_wide_y_dout_aux)
    );

            
    //
    // "Narrow" Storage
    //
    ip_bram_18k narrow_bram_x
    (
        .clka   (clk),
        .clkb   (clk),

        .ena    (wr_narrow_xy_ena),
        .wea    (wr_narrow_xy_ena),
        .addra  (wr_narrow_xy_offset),
        .dina   (wr_narrow_x_din),
    
        .enb    (rd_narrow_xy_ena),
        .regceb (rd_narrow_xy_reg_ena),
        .addrb  (rd_narrow_xy_offset),
        .doutb  (rd_narrow_x_dout)
    );

    ip_bram_18k narrow_bram_y
    (
        .clka   (clk),
        .clkb   (clk),

        .ena    (wr_narrow_xy_ena),
        .wea    (wr_narrow_xy_ena),
        .addra  (wr_narrow_xy_offset),
        .dina   (wr_narrow_y_din),
    
        .enb    (rd_narrow_xy_ena),
        .regceb (rd_narrow_xy_reg_ena),
        .addrb  (rd_narrow_xy_offset),
        .doutb  (rd_narrow_y_dout)
    );


endmodule