aboutsummaryrefslogtreecommitdiff
path: root/rtl/modexpng_sdp_36k_x32_x16_wrapper_generic.v
blob: 586cadf0c55635c629c011ab9a6757adcc287ee7 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
module modexpng_sdp_36k_x32_x16_wrapper_generic
(
    clk, clk_bus,
    
    ena, wea,
    addra, dina,
    
    enb,
    addrb, doutb
);


    //
    // Headers
    //
    `include "modexpng_parameters.vh"


    //
    // Ports
    //
    input                                     clk;
    input                                     clk_bus;
    
    input                                     ena;
    input                                     wea;
    input  [BANK_ADDR_W + OP_ADDR_W     -1:0] addra;
    input  [              WORD_W        -1:0] dina;
    
    input                                     enb;
    input  [BANK_ADDR_W + BUS_OP_ADDR_W -1:0] addrb;
    output [              BUS_DATA_W    -1:0] doutb;

    
    //
    // Memory
    //
    reg [BUS_DATA_W -1:0] mem[0:2**(BANK_ADDR_W+BUS_OP_ADDR_W)-1];
   
    //
    // Write Port
    //
    wire [BANK_ADDR_W + BUS_OP_ADDR_W -2:0] addra_msb = addra[BANK_ADDR_W + BUS_OP_ADDR_W -1:1];
    wire                                    addra_lsb = addra[0];
    
    always @(posedge clk)
        //
        if (ena && wea) begin
           if (addra_lsb) mem[addra_msb][BUS_DATA_W-1:WORD_W] <= dina;
           else           mem[addra_msb][    WORD_W-1:     0] <= dina;
        end
            
    //
    // Read Port
    //
    reg [BUS_DATA_W -1:0] doutb_reg;
    
    assign doutb = doutb_reg;
        
    always @(posedge clk_bus)
        //
        if (enb)
            doutb_reg <= mem[addrb];
            
    
endmodule