aboutsummaryrefslogtreecommitdiff
path: root/rtl/curve/rom/brom_p256_h_x.v
diff options
context:
space:
mode:
authorPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2018-04-01 13:33:04 +0300
committerPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2018-04-01 13:33:04 +0300
commitd924bdc54ed0400283d9b5a2f6b987f7d9f90db5 (patch)
tree3959e447f36f08195d286b67e330a1fda4b301de /rtl/curve/rom/brom_p256_h_x.v
parent180949c2d91d9e82a896c8d9f46f3b7541506f52 (diff)
Fixed coordinates of the hardcoded double of the base point, added more testfix
vectors to make sure, that the patch actually works. See the corresponding commit message for the ecdsa_fpga_model for more details.
Diffstat (limited to 'rtl/curve/rom/brom_p256_h_x.v')
-rw-r--r--rtl/curve/rom/brom_p256_h_x.v16
1 files changed, 8 insertions, 8 deletions
diff --git a/rtl/curve/rom/brom_p256_h_x.v b/rtl/curve/rom/brom_p256_h_x.v
index 0b69f77..1982690 100644
--- a/rtl/curve/rom/brom_p256_h_x.v
+++ b/rtl/curve/rom/brom_p256_h_x.v
@@ -54,14 +54,14 @@ module brom_p256_h_x
always @(posedge clk)
//
case (b_addr)
- 3'b000: bram_reg_b <= 32'h4ece7ad0;
- 3'b001: bram_reg_b <= 32'h16bd8d74;
- 3'b010: bram_reg_b <= 32'ha42998be;
- 3'b011: bram_reg_b <= 32'h11f904fe;
- 3'b100: bram_reg_b <= 32'h38b77e1b;
- 3'b101: bram_reg_b <= 32'h0e863235;
- 3'b110: bram_reg_b <= 32'h3da77b71;
- 3'b111: bram_reg_b <= 32'h29d05c19;
+ 3'b000: bram_reg_b <= 32'h47669978;
+ 3'b001: bram_reg_b <= 32'ha60b48fc;
+ 3'b010: bram_reg_b <= 32'h77f21b35;
+ 3'b011: bram_reg_b <= 32'hc08969e2;
+ 3'b100: bram_reg_b <= 32'h04b51ac3;
+ 3'b101: bram_reg_b <= 32'h8a523803;
+ 3'b110: bram_reg_b <= 32'h8d034f7e;
+ 3'b111: bram_reg_b <= 32'h7cf27b18;
endcase