aboutsummaryrefslogtreecommitdiff
path: root/src/rtl/coretest_entropy.v
blob: 17732a3d2cc18a96002f6db4bffa93bacf7f31e8 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
//======================================================================
//
// coretest_entropy.v
// -----------------
// Top level wrapper that creates the Cryptech coretest system.
// The wrapper contains instances of external interface, coretest
// and the cores to be tested. And if more than one core is
// present the wrapper also includes address and data muxes.
//
//
// Author: Joachim Strombergson
// Copyright (c) 2014, SUNET
// All rights reserved.
//
// Redistribution and use in source and binary forms, with or
// without modification, are permitted provided that the following
// conditions are met:
//
// 1. Redistributions of source code must retain the above copyright
//    notice, this list of conditions and the following disclaimer.
//
// 2. Redistributions in binary form must reproduce the above copyright
//    notice, this list of conditions and the following disclaimer in
//    the documentation and/or other materials provided with the
//    distribution.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
// "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
// LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
// FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
// COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
// BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
// ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
//
//======================================================================

module coretest_entropy(
                       input wire          clk,
                       input wire          reset_n,

                       input               noise,

                       // External interface.
                       input wire          SCL,
                       input wire          SDA,
                       output wire         SDA_pd,

                       output wire [7 : 0] debug
                      );


  //----------------------------------------------------------------
  // Internal constant and parameter definitions.
  //----------------------------------------------------------------
  parameter I2C_ADDR_PREFIX       = 8'h00;
  parameter AVALANCHE_ADDR_PREFIX = 8'h20;
  parameter ROSC_ADDR_PREFIX      = 8'h30;


  //----------------------------------------------------------------
  // Wires.
  //----------------------------------------------------------------
  // Coretest connections.
  wire          coretest_reset_n;
  wire          coretest_cs;
  wire          coretest_we;
  wire [15 : 0] coretest_address;
  wire [31 : 0] coretest_write_data;
  reg [31 : 0]  coretest_read_data;
  reg           coretest_error;

  // i2c connections
  wire          i2c_rxd_syn;
  wire [7 : 0]  i2c_rxd_data;
  wire          i2c_rxd_ack;
  wire          i2c_txd_syn;
  wire [7 : 0]  i2c_txd_data;
  wire          i2c_txd_ack;
  reg           i2c_cs;
  reg           i2c_we;
  reg [7 : 0]   i2c_address;
  reg [31 : 0]  i2c_write_data;
  wire [31 : 0] i2c_read_data;
  wire          i2c_error;
  wire [7 : 0]  i2c_debug;

  // sha1 connections.
  reg           sha1_cs;
  reg           sha1_we;
  reg [7 : 0]   sha1_address;
  reg [31 : 0]  sha1_write_data;
  wire [31 : 0] sha1_read_data;
  wire          sha1_error;
  wire [7 : 0]  sha1_debug;

  // Avalanche noise based entropy provider connections.
  wire          avalanche_noise;
  reg           avalanche_cs;
  reg           avalanche_we;
  reg [7 : 0]   avalanche_address;
  reg [31 : 0]  avalanche_write_data;
  wire [31 : 0] avalanche_read_data;
  wire          avalanche_error;
  wire [7 : 0]  avalanche_debug;
  wire          avalanche_debug_update;
  wire          avalanche_discard;
  wire          avalanche_test_mode;
  wire          avalanche_security_error;
  wire          avalanche_entropy_enabled;
  wire [31 : 0] avalanche_entropy_data;
  wire          avalanche_entropy_valid;
  wire          avalanche_entropy_ack;


  // ROSC based entropy providr connections.
  reg           rosc_cs;
  reg           rosc_we;
  reg [7 : 0]   rosc_address;
  reg [31 : 0]  rosc_write_data;
  wire [31 : 0] rosc_read_data;
  wire          rosc_error;
  wire [7 : 0]  rosc_debug;
  wire          rosc_debug_update;
  wire          rosc_discard;
  wire          rosc_test_mode;
  wire          rosc_security_error;
  wire          rosc_entropy_enabled;
  wire [31 : 0] rosc_entropy_data;
  wire          rosc_entropy_valid;
  wire          rosc_entropy_ack;


  //----------------------------------------------------------------
  // Concurrent assignment.
  //----------------------------------------------------------------
  assign debug = i2c_debug;

  assign avalanche_noise         = noise;
  assign avalanche_discard       = 0;
  assign avalanche_test_mode     = 0;
  assign avalanche_entropy_ack   = 1;
  assign avalanche_debug_update  = 1;

  assign rosc_discard       = 0;
  assign rosc_test_mode     = 0;
  assign rosc_entropy_ack   = 1;
  assign rosc_debug_update  = 1;


  //----------------------------------------------------------------
  // Core instantiations.
  //----------------------------------------------------------------
  coretest coretest_inst(
                         .clk(clk),
                         .reset_n(reset_n),

                         .rx_syn(i2c_rxd_syn),
                         .rx_data(i2c_rxd_data),
                         .rx_ack(i2c_rxd_ack),

                         .tx_syn(i2c_txd_syn),
                         .tx_data(i2c_txd_data),
                         .tx_ack(i2c_txd_ack),

                         .core_reset_n(coretest_reset_n),
                         .core_cs(coretest_cs),
                         .core_we(coretest_we),
                         .core_address(coretest_address),
                         .core_write_data(coretest_write_data),
                         .core_read_data(coretest_read_data),
                         .core_error(coretest_error)
                        );


  i2c i2c_inst(
               .clk(clk),
               .reset_n(!reset_n),

               .SCL(SCL),
               .SDA(SDA),
               .SDA_pd(SDA_pd),
               .i2c_device_addr(8'h1E),

               .rxd_syn(i2c_rxd_syn),
               .rxd_data(i2c_rxd_data),
               .rxd_ack(i2c_rxd_ack),

               .txd_syn(i2c_txd_syn),
               .txd_data(i2c_txd_data),
               .txd_ack(i2c_txd_ack),

               .cs(i2c_cs),
               .we(i2c_we),
               .address(i2c_address),
               .write_data(i2c_write_data),
               .read_data(i2c_read_data),
               .error(i2c_error),

               .debug(i2c_debug)
              );


  avalanche_entropy avalanche_inst(
                                   .clk(clk),
                                   .reset_n(reset_n),

                                   .noise(avalanche_noise),

                                   .cs(avalanche_cs),
                                   .we(avalanche_we),
                                   .address(avalanche_address),
                                   .write_data(avalanche_write_data),
                                   .read_data(avalanche_read_data),
                                   .error(avalanche_error),

                                   .discard(avalanche_discard),
                                   .test_mode(avalanche_test_mode),
                                   .security_error(avalanche_security_error),

                                   .entropy_enabled(avalanche_entropy_enabled),
                                   .entropy_data(avalanche_entropy_data),
                                   .entropy_valid(avalanche_entropy_valid),
                                   .entropy_ack(avalanche_entropy_ack),

                                   .debug(avalanche_debug),
                                   .debug_update(avalanche_debug_update)
                                  );


  rosc_entropy rosc_inst(
                         .clk(clk),
                         .reset_n(reset_n),

                         .cs(rosc_cs),
                         .we(rosc_we),
                         .address(rosc_address),
                         .write_data(rosc_write_data),
                         .read_data(rosc_read_data),
                         .error(rosc_error),

                         .discard(rosc_discard),
                         .test_mode(rosc_test_mode),
                         .security_error(rosc_security_error),

                         .entropy_enabled(rosc_entropy_enabled),
                         .entropy_data(rosc_entropy_data),
                         .entropy_valid(rosc_entropy_valid),
                         .entropy_ack(rosc_entropy_ack),

                         .debug(rosc_debug),
                         .debug_update(rosc_debug_update)
                        );


  //----------------------------------------------------------------
  // address_mux
  //
  // Combinational data mux that handles addressing between
  // cores using the 32-bit memory like interface.
  //----------------------------------------------------------------
  always @*
    begin : address_mux
      // Default assignments.
      coretest_read_data   = 32'h00000000;
      coretest_error       = 0;

      i2c_cs               = 0;
      i2c_we               = 0;
      i2c_address          = 8'h00;
      i2c_write_data       = 32'h00000000;

      avalanche_cs         = 0;
      avalanche_we         = 0;
      avalanche_address    = 8'h00;
      avalanche_write_data = 32'h00000000;

      rosc_cs              = 0;
      rosc_we              = 0;
      rosc_address         = 8'h00;
      rosc_write_data      = 32'h00000000;


      case (coretest_address[15 : 8])
        I2C_ADDR_PREFIX:
          begin
            i2c_cs             = coretest_cs;
            i2c_we             = coretest_we;
            i2c_address        = coretest_address[7 : 0];
            i2c_write_data     = coretest_write_data;
            coretest_read_data = i2c_read_data;
            coretest_error     = i2c_error;
          end


        AVALANCHE_ADDR_PREFIX:
          begin
            avalanche_cs          = coretest_cs;
            avalanche_we          = coretest_we;
            avalanche_address     = coretest_address[7 : 0];
            avalanche_write_data  = coretest_write_data;
            coretest_read_data    = avalanche_read_data;
            coretest_error        = avalanche_error;
          end


        ROSC_ADDR_PREFIX:
          begin
            rosc_cs            = coretest_cs;
            rosc_we            = coretest_we;
            rosc_address       = coretest_address[7 : 0];
            rosc_write_data    = coretest_write_data;
            coretest_read_data = rosc_read_data;
            coretest_error     = rosc_error;
          end


        default:
          begin
          end
      endcase // case (coretest_address[15 : 8])
    end // address_mux

endmodule // coretest_entropy

//======================================================================
// EOF coretest_entropy.v
//======================================================================