aboutsummaryrefslogtreecommitdiff
path: root/toolruns/quartus/TerasIC_C5G/coretest_bp_entropy.sdc
diff options
context:
space:
mode:
authorJoachim StroĢˆmbergson <joachim@secworks.se>2014-06-12 08:54:53 +0200
committerJoachim StroĢˆmbergson <joachim@secworks.se>2014-06-12 08:54:53 +0200
commita3d42dc2b51b911c05d6b9a7cf473721329324d3 (patch)
treeeb8e8fdca464ee989101034de749e7f875dd4c92 /toolruns/quartus/TerasIC_C5G/coretest_bp_entropy.sdc
parente0d03cb25c484b77378bf4d927a08a366ce5b485 (diff)
Fixed spelling error.
Diffstat (limited to 'toolruns/quartus/TerasIC_C5G/coretest_bp_entropy.sdc')
-rw-r--r--toolruns/quartus/TerasIC_C5G/coretest_bp_entropy.sdc39
1 files changed, 39 insertions, 0 deletions
diff --git a/toolruns/quartus/TerasIC_C5G/coretest_bp_entropy.sdc b/toolruns/quartus/TerasIC_C5G/coretest_bp_entropy.sdc
new file mode 100644
index 0000000..af0b792
--- /dev/null
+++ b/toolruns/quartus/TerasIC_C5G/coretest_bp_entropy.sdc
@@ -0,0 +1,39 @@
+#************************************************************
+# THIS IS A WIZARD-GENERATED FILE.
+#
+# Version 13.1.2 Build 173 01/15/2014 SJ Web Edition
+#
+#************************************************************
+
+# Copyright (C) 1991-2014 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions
+# and other software and tools, and its AMPP partner logic
+# functions, and any output files from any of the foregoing
+# (including device programming or simulation files), and any
+# associated documentation or information are expressly subject
+# to the terms and conditions of the Altera Program License
+# Subscription Agreement, Altera MegaCore Function License
+# Agreement, or other applicable license agreement, including,
+# without limitation, that your use is for the sole purpose of
+# programming logic devices manufactured by Altera and sold by
+# Altera or its authorized distributors. Please refer to the
+# applicable agreement for further details.
+
+
+
+# Clock constraints
+
+create_clock -name "clk" -period 20.000ns [get_ports {clk}] -waveform {0.000 10.000}
+
+# Automatically constrain PLL and other generated clocks
+derive_pll_clocks -create_base_clocks
+
+# Automatically calculate clock uncertainty to jitter and other effects.
+derive_clock_uncertainty
+
+# tsu/th constraints
+
+# tco constraints
+
+# tpd constraints
+