aboutsummaryrefslogtreecommitdiff
path: root/bin/flash-target
blob: aa77a99be35406b9db01bf59b4ba4fa18a42d2be (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
#!/bin/sh

PROJ="${1?'project'}"

OPENOCD=openocd

# location of OpenOCD Board .cfg files (only used with 'make flash-target')
#
# This path is from Ubuntu 14.04.
#
OPENOCD_BOARD_DIR=/usr/share/openocd/scripts/board

# Configuration (cfg) file containing programming directives for OpenOCD
#
# If you are using an STLINK v2.0 from an STM32 F4 DISCOVERY board,
# set this variable to "stm32f4discovery.cfg".
#
# If you are using an STLINK v2.1 from an STM32 F4 NUCLEO board,
# set this variable to "st_nucleo_f401re.cfg".
#
# If you are using something else, look for a matching configuration file in
# the OPENOCD_BOARD_DIR directory.
#
OPENOCD_PROC_FILE=stm32f4discovery.cfg
#OPENOCD_PROC_FILE=st_nucleo_f4.cfg

$OPENOCD -f $OPENOCD_BOARD_DIR/$OPENOCD_PROC_FILE -c "program $PROJ.elf verify reset exit"
uthor Joachim Strömbergson <joachim@secworks.se> 2018-06-28 16:04:13 +0200 committer Joachim Strömbergson <joachim@secworks.se> 2018-06-28 16:04:13 +0200 Adding a lot of functionality. Starting to get everthing in place.' href='/core/util/keywrap/commit/src/rtl/keywrap_mem.v?id=9e08b2d3f5cdcca969f13c2cacd4511693848d59'>9e08b2d
6b15816
3884cd3


16f31cd
3884cd3

16f31cd
9e08b2d
860dc81
3884cd3


16f31cd







9e08b2d
860dc81
3884cd3





a472f7e
9e08b2d
a472f7e
16f31cd
9e08b2d
16f31cd
9e08b2d
16f31cd
9e08b2d
16f31cd


3884cd3







860dc81
3884cd3

860dc81
3884cd3



2748621
3884cd3

2748621
3884cd3





860dc81
a472f7e
860dc81
a472f7e

3884cd3
a472f7e
3884cd3
a472f7e
3884cd3

f5a1869




1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172






































                                                                           












                                                                       
                     
 




                                                                    
 
                                                                    
                                                      
                                                                    



                                                                
 



                                                                


                                                                    

                                                                    


                                 
 

                             


                                                                    

                                                                    
                                            
                                                         


                                                                    
                

                                                                    
                       
                                         
                                                                 


                                     







                                                                    
                                         
                                                                 





                                     
                                                                    
                    
                                                                    
           
                           
                      
                                         
          
                                         


       







                                                                    
                                              

                        
                                              



                       
                                            

                                
                                           





                                
                                                        
                                  
                                                        

                                  
                          
                             
              
                             

           




                                                                        
//======================================================================
//
// keywrap_mem.v
// -------------
// Memory for AES KEY WRAP processing.
//
//
// Author: Joachim Strombergson
// Copyright (c) 2018, NORDUnet A/S
// All rights reserved.
//
// Redistribution and use in source and binary forms, with or without
// modification, are permitted provided that the following conditions are
// met:
// - Redistributions of source code must retain the above copyright notice,
//   this list of conditions and the following disclaimer.
//
// - Redistributions in binary form must reproduce the above copyright
//   notice, this list of conditions and the following disclaimer in the
//   documentation and/or other materials provided with the distribution.
//
// - Neither the name of the NORDUnet nor the names of its contributors may
//   be used to endorse or promote products derived from this software
//   without specific prior written permission.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
// IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
// PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
// HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
// TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
// NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
//
//======================================================================

module keywrap_mem #(parameter API_ADDR_BITS = 11)
                   (
                    input wire                            clk,

                    input wire                            api_we,
                    input wire [(API_ADDR_BITS - 1) : 0]  api_addr,
                    input wire [31 : 0]                   api_wr_data,
                    output wire [31 : 0]                  api_rd_data,

                    input wire                            core_we,
                    input wire [(API_ADDR_BITS - 2) : 0]  core_addr,
                    input wire [63 : 0]                   core_wr_data,
                    output wire [63 : 0]                  core_rd_data
                   );

  //----------------------------------------------------------------
  // Parameters.
  //----------------------------------------------------------------
  localparam NUM_BANK_WORDS = 2 ** (API_ADDR_BITS - 1);


  //----------------------------------------------------------------
  // Registers and memories including control signals.
  //----------------------------------------------------------------
  reg [31 : 0]                  mem0 [0 : (NUM_BANK_WORDS - 1)];
  reg [31 : 0]                  mem0_data;
  reg [(API_ADDR_BITS - 2) : 0] mem0_addr;
  reg                           mem0_we;

  reg [31 : 0]                  mem1 [0 : (NUM_BANK_WORDS - 1)];
  reg [31 : 0]                  mem1_data;
  reg [(API_ADDR_BITS - 2) : 0] mem1_addr;
  reg                           mem1_we;


  //----------------------------------------------------------------
  // Wires.
  //----------------------------------------------------------------
  reg [31 : 0] api_rd_data0;
  reg [31 : 0] api_rd_data1;
  reg [31 : 0] muxed_api_rd_data;

  reg [31 : 0] core_rd_data0;
  reg [31 : 0] core_rd_data1;


  //----------------------------------------------------------------
  // Assignments for ports.
  //----------------------------------------------------------------
  assign api_rd_data    = muxed_api_rd_data;
  assign core_rd_data   = {core_rd_data0, core_rd_data1};


  //----------------------------------------------------------------
  // mem0_access
  //----------------------------------------------------------------
  always @(posedge clk)
    begin : mem0_access
      core_rd_data0   <= mem0[core_addr];
      api_rd_data0    <= mem0[api_addr[(API_ADDR_BITS - 1) : 1]];

      if (mem0_we)
        mem0[mem0_addr] <= mem0_data;
    end


  //----------------------------------------------------------------
  // mem1_access
  //----------------------------------------------------------------
  always @(posedge clk)
    begin : mem1_access
      core_rd_data1   <= mem1[core_addr];
      api_rd_data1    <= mem1[api_addr[(API_ADDR_BITS - 1) : 1]];

      if (mem1_we)
        mem1[mem1_addr] <= mem1_data;
    end


  //----------------------------------------------------------------
  // api_rd_data_mux
  //----------------------------------------------------------------
  always @*
    begin : api_rd_data_mux
      if (api_addr[0])
        muxed_api_rd_data = api_rd_data1;
      else
        muxed_api_rd_data = api_rd_data0;
    end


  //----------------------------------------------------------------
  // write_mux
  // Mux that handles priority of writes and selection
  // of memory bank to write api data to.
  //----------------------------------------------------------------
  always @*
    begin : write_mux
      mem0_data = 32'h0;
      mem0_addr = {(API_ADDR_BITS - 1){1'h0}};
      mem0_we   = 1'h0;
      mem1_data = 32'h0;
      mem1_addr = {(API_ADDR_BITS - 1){1'h0}};
      mem1_we   = 1'h0;

      if (core_we)
        begin
          mem0_data = core_wr_data[63 : 32];
          mem0_addr = core_addr;
          mem0_we   = 1'h1;
          mem1_data = core_wr_data[31 : 0];
          mem1_addr = core_addr;
          mem1_we   = 1'h1;
        end

      else if (api_we)
        begin
          mem0_addr = api_addr[(API_ADDR_BITS - 1) : 1];
          mem0_data = api_wr_data;
          mem1_addr = api_addr[(API_ADDR_BITS - 1) : 1];
          mem1_data = api_wr_data;

          if (api_addr[0])
            mem1_we   = 1'h1;
          else
            mem0_we   = 1'h1;
        end
    end
endmodule // keywrap_mem

//======================================================================
// EOF keywrap_mem.v
//======================================================================