aboutsummaryrefslogtreecommitdiff
path: root/projects/hsm/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'projects/hsm/Makefile')
-rw-r--r--projects/hsm/Makefile33
1 files changed, 26 insertions, 7 deletions
diff --git a/projects/hsm/Makefile b/projects/hsm/Makefile
index f5546d8..55e021f 100644
--- a/projects/hsm/Makefile
+++ b/projects/hsm/Makefile
@@ -1,19 +1,38 @@
PROJ = hsm
-SRCS = main.c
+# objs in addition to $(PROJ).o
+OBJS = crc32.o mgmt-cli.o mgmt-dfu.c mgmt-fpga.c mgmt-misc.c mgmt-show.c
-OBJS = $(SRCS:.c=.o)
+BOARD_OBJS = \
+ $(TOPLEVEL)/stm-init.o \
+ $(TOPLEVEL)/stm-fmc.o \
+ $(TOPLEVEL)/stm-uart.o \
+ $(TOPLEVEL)/syscalls.o \
+ $(TOPLEVEL)/stm-rtc.o \
+ $(TOPLEVEL)/spiflash_n25q128.o \
+ $(TOPLEVEL)/stm-fpgacfg.o \
+ $(TOPLEVEL)/stm-keystore.o \
+ $(TOPLEVEL)/stm-sdram.o \
+ $(TOPLEVEL)/stm-flash.o \
+ $(BOARD_DIR)/TOOLCHAIN_GCC_ARM/startup_stm32f429xx_rtos.o \
+ $(BOARD_DIR)/system_stm32f4xx.o \
+ $(BOARD_DIR)/stm32f4xx_hal_msp.o \
+ $(BOARD_DIR)/stm32f4xx_it_rtos.o
-CFLAGS += -I $(LIBHAL_DIR)
+CFLAGS += -I$(LIBHAL_DIR)
+CFLAGS += -I$(LIBCLI_DIR)
+CFLAGS += -I$(RTOS_DIR)/rtos -I$(RTOS_DIR)/rtx/TARGET_CORTEX_M
LIBS += $(LIBHAL_DIR)/libhal.a $(LIBTFM_DIR)/libtfm.a
+LIBS += $(RTOS_DIR)/librtos.a
+LIBS += $(LIBCLI_DIR)/libcli.a
all: $(PROJ:=.elf)
-$(PROJ).elf: $(OBJS) $(BOARD_OBJS) $(LIBS)
- $(CC) $(CFLAGS) $^ -o $@ -T$(LDSCRIPT) -g -Wl,-Map=$(PROJ).map
- $(OBJCOPY) -O binary $(PROJ).elf $(PROJ).bin
- $(SIZE) $(PROJ).elf
+%.elf: %.o $(BOARD_OBJS) $(OBJS) $(LIBS)
+ $(CC) $(CFLAGS) $^ -o $@ -T$(LDSCRIPT) -g -Wl,-Map=$*.map
+ $(OBJCOPY) -O binary $*.elf $*.bin
+ $(SIZE) $*.elf
clean:
rm -f *.o