aboutsummaryrefslogtreecommitdiff
path: root/projects/cli-test/mgmt-show.c
diff options
context:
space:
mode:
Diffstat (limited to 'projects/cli-test/mgmt-show.c')
-rw-r--r--projects/cli-test/mgmt-show.c20
1 files changed, 20 insertions, 0 deletions
diff --git a/projects/cli-test/mgmt-show.c b/projects/cli-test/mgmt-show.c
index f124830..e829744 100644
--- a/projects/cli-test/mgmt-show.c
+++ b/projects/cli-test/mgmt-show.c
@@ -56,6 +56,10 @@ static int cmd_show_cpuspeed(struct cli_def *cli, const char *command, char *arg
{
volatile uint32_t hclk;
+ command = command;
+ argv = argv;
+ argc = argc;
+
hclk = HAL_RCC_GetHCLKFreq();
cli_print(cli, "HSE_VALUE: %li", HSE_VALUE);
cli_print(cli, "HCLK: %li (%i MHz)", hclk, (int) hclk / 1000 / 1000);
@@ -65,6 +69,10 @@ static int cmd_show_cpuspeed(struct cli_def *cli, const char *command, char *arg
static int cmd_show_fpga_status(struct cli_def *cli, const char *command, char *argv[], int argc)
{
+ command = command;
+ argv = argv;
+ argc = argc;
+
cli_print(cli, "FPGA has %sloaded a bitstream", fpgacfg_check_done() ? "":"NOT ");
return CLI_OK;
}
@@ -74,6 +82,10 @@ static int cmd_show_fpga_cores(struct cli_def *cli, const char *command, char *a
hal_core_t *core;
const hal_core_info_t *info;
+ command = command;
+ argv = argv;
+ argc = argc;
+
if (! fpgacfg_check_done()) {
cli_print(cli, "FPGA has not loaded a bitstream");
return CLI_OK;
@@ -90,6 +102,10 @@ static int cmd_show_fpga_cores(struct cli_def *cli, const char *command, char *a
static int cmd_show_keystore_status(struct cli_def *cli, const char *command, char *argv[], int argc)
{
+ command = command;
+ argv = argv;
+ argc = argc;
+
cli_print(cli, "Keystore memory is %sonline", (keystore_check_id() != 1) ? "NOT ":"");
return CLI_OK;
}
@@ -99,6 +115,10 @@ static int cmd_show_keystore_data(struct cli_def *cli, const char *command, char
uint8_t buf[KEYSTORE_PAGE_SIZE];
uint32_t i;
+ command = command;
+ argv = argv;
+ argc = argc;
+
if (keystore_check_id() != 1) {
cli_print(cli, "ERROR: The keystore memory is not accessible.");
}