aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_keywrap_core.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/tb/tb_keywrap_core.v')
-rw-r--r--src/tb/tb_keywrap_core.v11
1 files changed, 11 insertions, 0 deletions
diff --git a/src/tb/tb_keywrap_core.v b/src/tb/tb_keywrap_core.v
index 07777aa..b8857ea 100644
--- a/src/tb/tb_keywrap_core.v
+++ b/src/tb/tb_keywrap_core.v
@@ -71,6 +71,11 @@ module tb_keywrap_core();
reg tb_mkey_key;
wire tb_ready;
wire tb_valid;
+ wire tb_loaded;
+ reg [31 : 0] tb_timeout;
+ reg tb_ping;
+ reg tb_zeroise;
+ wire tb_dut_timeout;
reg [(RLEN_BITS - 1) : 0] tb_rlen;
reg [255 : 0] tb_key;
reg [31 : 0] tb_status;
@@ -113,6 +118,12 @@ module tb_keywrap_core();
.ready(tb_ready),
.valid(tb_valid),
+ .loaded(tb_loaded),
+
+ .timeout_delay(tb_timeout),
+ .ping(tb_ping),
+ .zeroise(tb_zeroise),
+ .timeout(tb_dut_timeout),
.rlen(tb_rlen),
.key(tb_key),