aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorPaul Selkirk <paul@psgd.org>2015-11-17 23:41:26 -0500
committerPaul Selkirk <paul@psgd.org>2015-11-17 23:41:26 -0500
commit48e3c117ae6944775010031b1691446220944b62 (patch)
tree7882a05c9f6aa65faf86cd461a70f522dfc9484e
parent1d7af67f55e9bdf3d5a18ab36d737dc4d9dcae24 (diff)
Harmonize status valid bit with other cores.
-rw-r--r--src/rtl/rosc_entropy.v38
1 files changed, 19 insertions, 19 deletions
diff --git a/src/rtl/rosc_entropy.v b/src/rtl/rosc_entropy.v
index 9c8a208..485b516 100644
--- a/src/rtl/rosc_entropy.v
+++ b/src/rtl/rosc_entropy.v
@@ -62,31 +62,31 @@ module rosc_entropy(
//----------------------------------------------------------------
- // Parameters.
+ // Internal constant and parameter definitions.
//----------------------------------------------------------------
- parameter ADDR_NAME0 = 8'h00;
- parameter ADDR_NAME1 = 8'h01;
- parameter ADDR_VERSION = 8'h02;
+ localparam ADDR_NAME0 = 8'h00;
+ localparam ADDR_NAME1 = 8'h01;
+ localparam ADDR_VERSION = 8'h02;
- parameter ADDR_CTRL = 8'h08;
- parameter CTRL_ENABLE_BIT = 0;
+ localparam ADDR_CTRL = 8'h08;
+ localparam CTRL_ENABLE_BIT = 0;
- parameter ADDR_STATUS = 8'h09;
- parameter STATUS_ENTROPY_VALID_BIT = 0;
+ localparam ADDR_STATUS = 8'h09;
+ localparam STATUS_VALID_BIT = 1;
- parameter ADDR_OP_A = 8'h18;
- parameter ADDR_OP_B = 8'h19;
+ localparam ADDR_OP_A = 8'h18;
+ localparam ADDR_OP_B = 8'h19;
- parameter ADDR_ENTROPY = 8'h20;
- parameter ADDR_RAW = 8'h21;
- parameter ADDR_ROSC_OUTPUTS = 8'h22;
+ localparam ADDR_ENTROPY = 8'h20;
+ localparam ADDR_RAW = 8'h21;
+ localparam ADDR_ROSC_OUTPUTS= 8'h22;
- parameter DEFAULT_OP_A = 32'haaaaaaaa;
- parameter DEFAULT_OP_B = ~DEFAULT_OP_A;
+ localparam DEFAULT_OP_A = 32'haaaaaaaa;
+ localparam DEFAULT_OP_B = ~DEFAULT_OP_A;
- parameter CORE_NAME0 = 32'h726f7363; // "rosc"
- parameter CORE_NAME1 = 32'h20656e74; // " ent"
- parameter CORE_VERSION = 32'h302e3130; // "0.10"
+ localparam CORE_NAME0 = 32'h726f7363; // "rosc"
+ localparam CORE_NAME1 = 32'h20656e74; // " ent"
+ localparam CORE_VERSION = 32'h302e3130; // "0.10"
//----------------------------------------------------------------
@@ -266,7 +266,7 @@ module rosc_entropy(
ADDR_STATUS:
begin
- tmp_read_data[STATUS_ENTROPY_VALID_BIT] = internal_entropy_valid;
+ tmp_read_data[STATUS_VALID_BIT] = internal_entropy_valid;
end
ADDR_OP_A: