aboutsummaryrefslogtreecommitdiff
path: root/src/rtl/avalanche_entropy_core.v
blob: 6269d0485a1f7d35b7fc8640a68a364f43d810ed (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
//======================================================================
//
// avalanche_entropy_core.v
// ------------------------
// Entropy provider core for an external entropy source based on
// avalanche noise. (or any other source that ca toggle a single
// bit input).
//
// Currently the design consists of a free running counter. At every
// positive flank detected the LSB of the counter is pushed into
// a 32bit shift register.
//
//
// Author: Joachim Strombergson
// Copyright (c) 2014, NORDUnet A/S All rights reserved.
//
// Redistribution and use in source and binary forms, with or without
// modification, are permitted provided that the following conditions are
// met:
// - Redistributions of source code must retain the above copyright notice,
//   this list of conditions and the following disclaimer.
//
// - Redistributions in binary form must reproduce the above copyright
//   notice, this list of conditions and the following disclaimer in the
//   documentation and/or other materials provided with the distribution.
//
// - Neither the name of the NORDUnet nor the names of its contributors may
//   be used to endorse or promote products derived from this software
//   without specific prior written permission.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
// IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
// PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
// HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
// TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
// NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
//
//======================================================================

module avalanche_entropy_core(
                              input wire           clk,
                              input wire           reset_n,

                              input wire           noise,
                              output wire          sampled_noise,
                              output wire          entropy,

                              input wire           entropy_ack,
                              output wire          entropy_syn,
                              output wire [31 : 0] entropy_data,

                              output wire [7 : 0]  led,
                              output wire [7 : 0]  debug_data,
                              output wire          debug_clk,

                              output wire [31 : 0] delta_data,
                              output wire          delta_clk
                             );


  //----------------------------------------------------------------
  // Internal constant and parameter definitions.
  //----------------------------------------------------------------
  parameter ADDR_STATUS      = 8'h00;
  parameter ADDR_ENTROPY     = 8'h10;
  parameter ADDR_DELTA       = 8'h20;

  parameter LED_RATE     = 32'h00300000;
  parameter SECONDS_RATE = 32'h02faf080;


  //----------------------------------------------------------------
  // Registers including update variables and write enable.
  //----------------------------------------------------------------
  reg          noise_sample0_reg;
  reg          noise_sample_reg;

  reg          flank0_reg;
  reg          flank1_reg;

  reg          entropy_bit_reg;

  reg [31 : 0] entropy_reg;
  reg [31 : 0] entropy_new;
  reg          entropy_we;

  reg          entropy_syn_reg;
  reg          entropy_syn_new;

  reg [5 :  0] bit_ctr_reg;
  reg [5 :  0] bit_ctr_new;
  reg          bit_ctr_inc;
  reg          bit_ctr_we;

  reg [3 : 0]  debug_ctr_reg;
  reg [3 : 0]  debug_ctr_new;
  reg          debug_ctr_inc;
  reg          debug_ctr_we;

  reg          debug_clk_reg;
  reg          debug_clk_new;

  reg [7 : 0]  led_reg;
  reg [7 : 0]  led_new;
  reg          led_we;

  reg [31 : 0] led_ctr_reg;
  reg [31 : 0] led_ctr_new;

  reg [31 : 0] cycle_ctr_reg;
  reg [31 : 0] cycle_ctr_new;

  reg [31 : 0] delta_reg;
  reg          delta_we;

  reg          delta_clk_reg;
  reg          delta_clk_new;


  //----------------------------------------------------------------
  // Wires.
  //----------------------------------------------------------------
//  reg [31 : 0]   tmp_read_data;
//  reg            tmp_error;


  //----------------------------------------------------------------
  // Concurrent connectivity for ports etc.
  //----------------------------------------------------------------
  assign entropy_syn = entropy_syn_reg;
  assign entropy_data  = entropy_reg;

  assign led           = led_reg;
  assign debug_data    = entropy_reg[7 : 0];
  assign debug_clk     = debug_clk_reg;

  assign sampled_noise = noise_sample_reg;
  assign entropy       = entropy_reg[0];

//  assign read_data     = tmp_read_data;
//  assign error         = tmp_error;

  assign delta_data    = delta_reg;
  assign delta_clk     = delta_clk_reg;


  //----------------------------------------------------------------
  // reg_update
  //----------------------------------------------------------------
  always @ (posedge clk or negedge reset_n)
    begin
      if (!reset_n)
        begin
          noise_sample0_reg   <= 1'b0;
          noise_sample_reg    <= 1'b0;
          flank0_reg          <= 1'b0;
          flank1_reg          <= 1'b0;
          entropy_syn_reg   <= 1'b0;
          entropy_reg         <= 32'h00000000;
          entropy_bit_reg     <= 1'b0;
          bit_ctr_reg         <= 6'h00;
          led_reg             <= 8'h00;
          led_ctr_reg         <= 32'h00000000;
          debug_ctr_reg       <= 4'h0;
          debug_clk_reg       <= 1'b0;
          cycle_ctr_reg       <= 32'h00000000;
          delta_reg           <= 32'h00000000;
          delta_clk_reg       <= 1'b0;
        end
      else
        begin
          noise_sample0_reg <= noise;
          noise_sample_reg  <= noise_sample0_reg;

          flank0_reg        <= noise_sample_reg;
          flank1_reg        <= flank0_reg;

          entropy_syn_reg <= entropy_syn_new;

          entropy_bit_reg   <= ~entropy_bit_reg;

          led_ctr_reg       <= led_ctr_new;
          debug_clk_reg     <= debug_clk_new;

          delta_clk_reg     <= delta_clk_new;
          cycle_ctr_reg     <= cycle_ctr_new;

          if (delta_we)
            begin
              delta_reg <= cycle_ctr_reg;
            end

          if (bit_ctr_we)
            begin
              bit_ctr_reg <= bit_ctr_new;
            end

          if (debug_ctr_we)
            begin
              debug_ctr_reg <= debug_ctr_new;
            end

          if (entropy_we)
            begin
              entropy_reg <= entropy_new;
            end

          if (led_we)
            begin
              led_reg <= entropy_reg[7 : 0];
            end
        end
    end // reg_update


  //----------------------------------------------------------------
  // entropy_collect
  //
  // We collect entropy by adding the current state of the
  // entropy bit register the entropy shift register every time
  // we detect a positive flank in the noise source.
  //----------------------------------------------------------------
  always @*
    begin : entropy_collect
      entropy_new   = 32'h00000000;
      entropy_we    = 1'b0;
      bit_ctr_inc   = 1'b0;
      debug_ctr_inc = 1'b0;

      if ((flank0_reg) && (!flank1_reg))
        begin
          entropy_new   = {entropy_reg[30 : 0], entropy_bit_reg};
          entropy_we    = 1'b1;
          bit_ctr_inc   = 1'b1;
          debug_ctr_inc = 1'b1;
        end
    end // entropy_collect


  //----------------------------------------------------------------
  // delta_logic
  //
  // The logic implements the delta time measuerment system.
  //----------------------------------------------------------------
  always @*
    begin : delta_logic
      cycle_ctr_new      = cycle_ctr_reg + 1'b1;
      delta_clk_new      = 1'b0;
      delta_we           = 1'b0;

      if ((flank0_reg) && (!flank1_reg))
        begin
          cycle_ctr_new = 32'h00000000;
          delta_clk_new = 1'b1;
          delta_we      = 1'b1;
        end
    end // delta_logic


  //----------------------------------------------------------------
  // debug_ctr_logic
  //
  // The logic implements the counter needed to handle detection
  // that enough bis has been generated to output debug values.
  //----------------------------------------------------------------
  always @*
    begin : debug_ctr_logic
      debug_ctr_new = 4'h0;
      debug_ctr_we  = 0;
      debug_clk_new = 0;

      if (debug_ctr_reg == 4'h08)
        begin
          debug_ctr_new = 4'h0;
          debug_ctr_we  = 1;
          debug_clk_new = 1;
        end
      else if (debug_ctr_inc)
        begin
          debug_ctr_new = debug_ctr_reg + 1'b1;
          debug_ctr_we  = 1;
        end
      end // debug_ctr_logic


  //----------------------------------------------------------------
  // entropy_ack_logic
  //
  // The logic needed to handle detection that entropy has been
  // read and ensure that we collect more than 32 entropy
  // bits beforeproviding more entropy.
  //----------------------------------------------------------------
  always @*
    begin : entropy_ack_logic
      bit_ctr_new       = 6'h00;
      bit_ctr_we        = 1'b0;
      entropy_syn_new = 1'b0;

      if (bit_ctr_reg == 6'h20)
        begin
          entropy_syn_new = 1'b1;
        end

      if ((bit_ctr_inc) && (bit_ctr_reg < 6'h20))
        begin
          bit_ctr_new = bit_ctr_reg + 1'b1;
          bit_ctr_we  = 1'b1;
        end
      else if (entropy_ack)
        begin
          bit_ctr_new = 6'h00;
          bit_ctr_we  = 1'b1;
        end
      end // entropy_ack_logic


  //----------------------------------------------------------------
  // led_update
  //
  // Sample the entropy register as LED output value at
  // the given LED_RATE.
  //----------------------------------------------------------------
  always @*
    begin : led_update
      led_ctr_new = led_ctr_reg + 1'b1;
      led_we      = 1'b0;

      if (led_ctr_reg == LED_RATE)
        begin
          led_ctr_new = 32'h00000000;
          led_we      = 1'b1;
        end
    end // led_update


  //----------------------------------------------------------------
  // api_logic
  //----------------------------------------------------------------
//  always @*
//    begin : api_logic
//      tmp_read_data = 32'h00000000;
//      tmp_error     = 1'b0;
//      bit_ctr_rst   = 1'b1;
//
//      if (cs)
//        begin
//          if (we)
//            begin
//              case (address)
//                // Write operations.
//
//                default:
//                  begin
//                    tmp_error = 1;
//                  end
//              endcase // case (address)
//            end // if (we)
//
//          else
//            begin
//              case (address)
//                // Read operations.
//                ADDR_STATUS:
//                  begin
//                    tmp_read_data = {31'h00000000, entropy_syn_reg};
//                   end
//
//                ADDR_ENTROPY:
//                  begin
//                    tmp_read_data = entropy_reg;
//                    bit_ctr_rst   = 1'b1;
//                  end
//
//                ADDR_POS_FLANKS:
//                  begin
//                    tmp_read_data = posflank_sample_reg;
//                  end
//
//                ADDR_NEG_FLANKS:
//                  begin
//                    tmp_read_data = negflank_sample_reg;
//                  end
//
//                ADDR_TOT_FLANKS:
//                  begin
//                    tmp_read_data = totflank_sample_reg;
//                  end
//
//                ADDR_DELTA:
//                  begin
//                    tmp_read_data = delta_reg;
//                  end
//
//                default:
//                  begin
//                    tmp_error = 1;
//                  end
//              endcase // case (address)
//            end // else: !if(we)
//        end // if (cs)
//    end // api_logic

endmodule // avalanche_entropy_core

//======================================================================
// EOF avalanche_entropy_core.v
//======================================================================