aboutsummaryrefslogtreecommitdiff
path: root/config/core_selector.v
blob: 3c0a31fff5913272daca52fc3b7b4dba91df8150 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
// NOTE: This file is generated; do not edit by hand.

module core_selector
  (
   input wire          sys_clk,
   input wire          sys_rst,

   input wire [16: 0]  sys_eim_addr,
   input wire          sys_eim_wr,
   input wire          sys_eim_rd,
   output wire [31: 0] sys_read_data,
   input wire [31: 0]  sys_write_data,
   output wire         sys_error,

   input wire          noise,
   output wire [7 : 0] debug
   );


   //----------------------------------------------------------------
   // Address Decoder
   //----------------------------------------------------------------
   // upper 9 bits specify core being addressed
   wire [ 8: 0]         addr_core_num   = sys_eim_addr[16: 8];
   // lower 8 bits specify register offset in core
   wire [ 7: 0]         addr_core_reg   = sys_eim_addr[ 7: 0];


   //----------------------------------------------------------------
   // Core Address Table
   //----------------------------------------------------------------
   localparam   CORE_ADDR_BOARD_REGS            = 9'h00;
   localparam   CORE_ADDR_COMM_REGS             = 9'h01;
   localparam   CORE_ADDR_SHA256                = 9'h02;
   localparam   CORE_ADDR_AES                   = 9'h03;
   localparam   CORE_ADDR_TRNG                  = 9'h04;
   localparam   CORE_ADDR_AVALANCHE_ENTROPY     = 9'h09;
   localparam   CORE_ADDR_ROSC_ENTROPY          = 9'h0a;
   localparam   CORE_ADDR_TRNG_MIXER            = 9'h0e;
   localparam   CORE_ADDR_TRNG_CSPRNG           = 9'h0f;
   localparam   CORE_ADDR_MODEXP                = 9'h14;


   //----------------------------------------------------------------
   // BOARD_REGS
   //----------------------------------------------------------------
   wire                 enable_board_regs = (addr_core_num == CORE_ADDR_BOARD_REGS);
   wire [31: 0]         read_data_board_regs;
   wire                 error_board_regs;

   board_regs board_regs_inst
     (
      .clk(sys_clk),
      .rst(sys_rst),

      .cs(enable_board_regs & (sys_eim_rd | sys_eim_wr)),
      .we(sys_eim_wr),

      .address(addr_core_reg),
      .write_data(sys_write_data),
      .read_data(read_data_board_regs),
      .error(error_board_regs)
      );

   reg  [31: 0] read_data_board_regs_reg;
   always @(posedge sys_clk)
     read_data_board_regs_reg <= read_data_board_regs;


   //----------------------------------------------------------------
   // COMM_REGS
   //----------------------------------------------------------------
   wire                 enable_comm_regs = (addr_core_num == CORE_ADDR_COMM_REGS);
   wire [31: 0]         read_data_comm_regs;
   wire                 error_comm_regs;

   comm_regs comm_regs_inst
     (
      .clk(sys_clk),
      .rst(sys_rst),

      .cs(enable_comm_regs & (sys_eim_rd | sys_eim_wr)),
      .we(sys_eim_wr),

      .address(addr_core_reg),
      .write_data(sys_write_data),
      .read_data(read_data_comm_regs),
      .error(error_comm_regs)
      );

   reg  [31: 0] read_data_comm_regs_reg;
   always @(posedge sys_clk)
     read_data_comm_regs_reg <= read_data_comm_regs;


   //----------------------------------------------------------------
   // SHA256
   //----------------------------------------------------------------
   wire                 enable_sha256 = (addr_core_num == CORE_ADDR_SHA256);
   wire [31: 0]         read_data_sha256;
   wire                 error_sha256;

   sha256 sha256_inst
     (
      .clk(sys_clk),
      .reset_n(~sys_rst),

      .cs(enable_sha256 & (sys_eim_rd | sys_eim_wr)),
      .we(sys_eim_wr),

      .address(addr_core_reg),
      .write_data(sys_write_data),
      .read_data(read_data_sha256),
      .error(error_sha256)
      );

   reg  [31: 0] read_data_sha256_reg;
   always @(posedge sys_clk)
     read_data_sha256_reg <= read_data_sha256;


   //----------------------------------------------------------------
   // AES
   //----------------------------------------------------------------
   wire                 enable_aes = (addr_core_num == CORE_ADDR_AES);
   wire [31: 0]         read_data_aes;
   wire                 error_aes;

   aes aes_inst
     (
      .clk(sys_clk),
      .reset_n(~sys_rst),

      .cs(enable_aes & (sys_eim_rd | sys_eim_wr)),
      .we(sys_eim_wr),

      .address(addr_core_reg),
      .write_data(sys_write_data),
      .read_data(read_data_aes),
      .error(error_aes)
      );

   reg  [31: 0] read_data_aes_reg;
   always @(posedge sys_clk)
     read_data_aes_reg <= read_data_aes;


   //----------------------------------------------------------------
   // TRNG
   //----------------------------------------------------------------
   wire                 enable_trng = (addr_core_num >= CORE_ADDR_TRNG) && (addr_core_num <= CORE_ADDR_TRNG + 9'h0f);
   wire [31: 0]         read_data_trng;
   wire                 error_trng;
   wire [3:0]           trng_prefix = addr_core_num[3:0] - CORE_ADDR_TRNG;

   trng trng_inst
     (
      .clk(sys_clk),
      .reset_n(~sys_rst),

      .cs(enable_trng & (sys_eim_rd | sys_eim_wr)),
      .we(sys_eim_wr),

      .address({trng_prefix, addr_core_reg}),
      .write_data(sys_write_data),
      .read_data(read_data_trng),
      .error(error_trng),

      .avalanche_noise(noise),
      .debug(debug)
      );

   reg  [31: 0] read_data_trng_reg;
   always @(posedge sys_clk)
     read_data_trng_reg <= read_data_trng;


   //----------------------------------------------------------------
   // MODEXP
   //----------------------------------------------------------------
   wire                 enable_modexp = (addr_core_num == CORE_ADDR_MODEXP);
   wire [31: 0]         read_data_modexp;
   wire                 error_modexp;

   modexp modexp_inst
     (
      .clk(sys_clk),
      .reset_n(~sys_rst),

      .cs(enable_modexp & (sys_eim_rd | sys_eim_wr)),
      .we(sys_eim_wr),

      .address(addr_core_reg),
      .write_data(sys_write_data),
      .read_data(read_data_modexp)
      );

   reg  [31: 0] read_data_modexp_reg;
   always @(posedge sys_clk)
     read_data_modexp_reg <= read_data_modexp;



   //----------------------------------------------------------------
   // Output (Read Data) Multiplexer
   //----------------------------------------------------------------
   reg [31: 0]          sys_read_data_mux;
   assign               sys_read_data = sys_read_data_mux;
   reg                  sys_error_mux;
   assign               sys_error = sys_error_mux;

   always @*

     case (addr_core_num)
       CORE_ADDR_BOARD_REGS:
         begin
            sys_read_data_mux = read_data_board_regs_reg;
            sys_error_mux = error_board_regs;
         end
       CORE_ADDR_COMM_REGS:
         begin
            sys_read_data_mux = read_data_comm_regs_reg;
            sys_error_mux = error_comm_regs;
         end
       CORE_ADDR_SHA256:
         begin
            sys_read_data_mux = read_data_sha256_reg;
            sys_error_mux = error_sha256;
         end
       CORE_ADDR_AES:
         begin
            sys_read_data_mux = read_data_aes_reg;
            sys_error_mux = error_aes;
         end
       CORE_ADDR_TRNG:
         begin
            sys_read_data_mux = read_data_trng_reg;
            sys_error_mux = error_trng;
         end
       CORE_ADDR_AVALANCHE_ENTROPY:
         begin
            sys_read_data_mux = read_data_trng_reg;
            sys_error_mux = error_trng;
         end
       CORE_ADDR_ROSC_ENTROPY:
         begin
            sys_read_data_mux = read_data_trng_reg;
            sys_error_mux = error_trng;
         end
       CORE_ADDR_TRNG_MIXER:
         begin
            sys_read_data_mux = read_data_trng_reg;
            sys_error_mux = error_trng;
         end
       CORE_ADDR_TRNG_CSPRNG:
         begin
            sys_read_data_mux = read_data_trng_reg;
            sys_error_mux = error_trng;
         end
       CORE_ADDR_MODEXP:
         begin
            sys_read_data_mux = read_data_modexp_reg;
            sys_error_mux = 0;
         end

       default:
         begin
            sys_read_data_mux = {32{1'b0}};
            sys_error_mux = 1;
         end
     endcase


endmodule


//======================================================================
// EOF core_selector.v
//======================================================================