aboutsummaryrefslogtreecommitdiff
path: root/core_selector/src/rtl/core_selector.v
blob: 275089f46d9d5718cf439b522f85dcdfdad64e99 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
//======================================================================
//
// core_selector.v
// ---------------
// Top level wrapper that creates the Cryptech coretest system.
// The wrapper contains instances of external interface, coretest
// and the core to be tested. And if more than one core is
// present the wrapper also includes address and data muxes.
//
//
// Author: Pavel Shatov
// Copyright (c) 2014-2015, NORDUnet A/S All rights reserved.
// 
// Redistribution and use in source and binary forms, with or without
// modification, are permitted provided that the following conditions are
// met:
// - Redistributions of source code must retain the above copyright notice,
//   this list of conditions and the following disclaimer.
//
// - Redistributions in binary form must reproduce the above copyright
//   notice, this list of conditions and the following disclaimer in the
//   documentation and/or other materials provided with the distribution.
//
// - Neither the name of the NORDUnet nor the names of its contributors may
//   be used to endorse or promote products derived from this software
//   without specific prior written permission.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
// IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
// PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
// HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
// TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
// NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
//
//======================================================================

module core_selector
  (
   input wire          sys_clk,
   input wire          sys_rst,

   input wire [16: 0]  sys_eim_addr,
   input wire          sys_eim_wr,
   input wire          sys_eim_rd,
   output wire [31: 0] sys_read_data,
   input wire [31: 0]  sys_write_data,
   output wire         sys_error,

   input wire          noise,
   output wire [7 : 0] debug
   );
   
   
   /* Three upper bits of address [16:14] are used to select memory segment.
    * There can be eight segments. So far segment 0 is used for global
    * registers, segment 1 is used for hashes, segment 2 is reserved for
    * random number generators, segment 3 is reserved for chiphers. Other
    * segments are not used so far.
    */
   
   /* Every segment has its own memory map, take at look at corresponding
    * selectors for more information.
    */
   
   //----------------------------------------------------------------
   // Address Decoder
   //----------------------------------------------------------------
   //  3 upper bits are decoded here
   wire [ 2: 0] addr_segment            = sys_eim_addr[16:14];
   // 14 lower bits are decoded in corresponding segment selectors
   wire [13: 0] addr_segment_int        = sys_eim_addr[13: 0];


   //----------------------------------------------------------------
   // List of Available Segments
   //----------------------------------------------------------------
   // Comment following lines to exclude segments from implementation.
   `define      USE_SEGMENT_GLOBALS
   `define      USE_SEGMENT_HASHES
   `define      USE_SEGMENT_RNGS
// `define      USE_SEGMENT_CIPHERS


   //----------------------------------------------------------------
   // Segment Address Table
   //----------------------------------------------------------------
   localparam   SEGMENT_ADDR_GLOBALS    = 3'd0;
   localparam   SEGMENT_ADDR_HASHES     = 3'd1;
   localparam   SEGMENT_ADDR_RNGS       = 3'd2;
   localparam   SEGMENT_ADDR_CIPHERS    = 3'd3;


   //----------------------------------------------------------------
   // GLOBALS Segment
   //----------------------------------------------------------------
   `ifdef USE_SEGMENT_GLOBALS
   wire         segment_enable_globals = (addr_segment == SEGMENT_ADDR_GLOBALS) ? 1'b1 : 1'b0;
   wire [31: 0] segment_globals_read_data;
   wire         segment_globals_error;

   global_selector globals
     (
      .sys_clk(sys_clk),
      .sys_rst(sys_rst),
      .sys_ena(segment_enable_globals),

      .sys_eim_addr(addr_segment_int),
      .sys_eim_wr(sys_eim_wr),
      .sys_eim_rd(sys_eim_rd),                                          
      .sys_write_data(sys_write_data),
      .sys_read_data(segment_globals_read_data),
      .sys_error(segment_globals_error)
      );
   `endif


   //----------------------------------------------------------------
   // HASHES Segment
   //----------------------------------------------------------------
   `ifdef USE_SEGMENT_HASHES
   wire         segment_enable_hashes = (addr_segment == SEGMENT_ADDR_HASHES) ? 1'b1 : 1'b0;
   wire [31: 0] segment_hashes_read_data;
   wire         segment_hashes_error;

   hash_selector hashes
     (
      .sys_clk(sys_clk),
      .sys_rst(sys_rst),
      .sys_ena(segment_enable_hashes),

      .sys_eim_addr(addr_segment_int),
      .sys_eim_wr(sys_eim_wr),
      .sys_eim_rd(sys_eim_rd),                                          
      .sys_write_data(sys_write_data),
      .sys_read_data(segment_hashes_read_data),
      .sys_error(segment_hashes_error)
      );
   `endif


   //----------------------------------------------------------------
   // RNGS Segment
   //----------------------------------------------------------------
   `ifdef USE_SEGMENT_RNGS
   wire         segment_enable_rngs = (addr_segment == SEGMENT_ADDR_RNGS) ? 1'b1 : 1'b0;
   wire [31: 0] segment_rngs_read_data;
   wire         segment_rngs_error;

   rng_selector rngs
     (
      .sys_clk(sys_clk),
      .sys_rst(sys_rst),
      .sys_ena(segment_enable_rngs),

      .sys_eim_addr(addr_segment_int),
      .sys_eim_wr(sys_eim_wr),
      .sys_eim_rd(sys_eim_rd),                                          
      .sys_write_data(sys_write_data),
      .sys_read_data(segment_rngs_read_data),
      .sys_error(segment_rngs_error),

      .noise(noise),            // only RNG segment uses these ports
      .debug(debug)
      );
   `endif


   //----------------------------------------------------------------
   // CIPHERS Segment
   //----------------------------------------------------------------
   `ifdef USE_SEGMENT_CIPHERS
   wire         segment_enable_ciphers = (addr_segment == SEGMENT_ADDR_CIPHERS) ? 1'b1 : 1'b0;
   wire [31: 0] segment_ciphers_read_data;
   wire         segment_ciphers_error;

   cipher_selector ciphers
     (
      .sys_clk(sys_clk),
      .sys_rst(sys_rst),
      .sys_ena(segment_enable_ciphers),

      .sys_eim_addr(addr_segment_int),
      .sys_eim_wr(sys_eim_wr),
      .sys_eim_rd(sys_eim_rd),                                          
      .sys_write_data(sys_write_data),
      .sys_read_data(segment_ciphers_read_data),
      .sys_error(segment_ciphers_error)
      );
   `endif


   //----------------------------------------------------------------
   // Output (Read Data) Bus
   //----------------------------------------------------------------
   reg [31: 0]  sys_read_data_reg;
   assign       sys_read_data = sys_read_data_reg;
   reg          sys_error_reg;
   assign       sys_error = sys_error_reg;
   
   always @*
     //
     case (addr_segment)
   `ifdef USE_SEGMENT_GLOBALS
       SEGMENT_ADDR_GLOBALS:
         begin
            sys_read_data_reg = segment_globals_read_data;
            sys_error_reg     = segment_globals_error;
         end
   `endif
   `ifdef USE_SEGMENT_HASHES
       SEGMENT_ADDR_HASHES:
         begin
            sys_read_data_reg = segment_hashes_read_data;
            sys_error_reg     = segment_hashes_error;
         end
   `endif
   `ifdef USE_SEGMENT_RNGS
       SEGMENT_ADDR_RNGS:
         begin
            sys_read_data_reg = segment_rngs_read_data;
            sys_error_reg     = segment_rngs_error;
         end
   `endif
   `ifdef USE_SEGMENT_CIPHERS
       SEGMENT_ADDR_CIPHERS:
         begin
            sys_read_data_reg = segment_ciphers_read_data;
            sys_error_reg     = segment_ciphers_error;
         end
   `endif
       default:
         begin
            sys_read_data_reg = {32{1'b0}};
            sys_error_reg = 1;
         end
     endcase
   

endmodule


//======================================================================
// EOF core_selector.v
//======================================================================