aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2018-12-19 16:08:26 +0300
committerPavel V. Shatov (Meister) <meisterpaul1@yandex.ru>2018-12-19 16:08:26 +0300
commitad19a5b3fdaca8b9b8c792790151e40e8e6d4991 (patch)
tree33a5c8fdde115fa5405aaea5b67d3a3ede31c87e
parent678646d90aa50482936ee06b5a4d7bb00c156aab (diff)
* Updated core.cfg to match the changes made to ECDSA cores
* Added modules from core/lib * Fixed the problem with ECDSA not compiling without ModExp included
-rw-r--r--config/core.cfg143
1 files changed, 49 insertions, 94 deletions
diff --git a/config/core.cfg b/config/core.cfg
index 222a8e0..254b61a 100644
--- a/config/core.cfg
+++ b/config/core.cfg
@@ -204,16 +204,16 @@ vfiles =
math/modexpa7/src/rtl/modexpa7_systolic_multiplier_array.v
math/modexpa7/src/rtl/modexpa7_top.v
math/modexpa7/src/rtl/modexpa7_wrapper.v
- math/modexpa7/src/rtl/pe/artix7/modexpa7_adder32_artix7.v
- math/modexpa7/src/rtl/pe/artix7/modexpa7_dsp48e1_wrapper.v
- math/modexpa7/src/rtl/pe/artix7/modexpa7_dsp48e1_wrapper_ext.v
- math/modexpa7/src/rtl/pe/artix7/modexpa7_multiplier32_artix7.v
- math/modexpa7/src/rtl/pe/artix7/modexpa7_subtractor32_artix7.v
- math/modexpa7/src/rtl/pe/artix7/modexpa7_systolic_pe_artix7.v
- math/modexpa7/src/rtl/pe/modexpa7_adder32.v
- math/modexpa7/src/rtl/pe/modexpa7_subtractor32.v
- math/modexpa7/src/rtl/pe/modexpa7_systolic_pe.v
- math/modexpa7/src/rtl/util/bram_1rw_readfirst.v
+ lib/memory/bram_1rw_1ro_readfirst.v
+ lib/memory/bram_1rw_readfirst.v
+ lib/lowlevel/artix7/adder32_artix7.v
+ lib/lowlevel/artix7/adder32_ce_artix7.v
+ lib/lowlevel/artix7/subtractor32_artix7.v
+ lib/lowlevel/artix7/subtractor32_ce_artix7.v
+ lib/lowlevel/artix7/modexp_multiplier32_artix7.v
+ lib/lowlevel/artix7/modexp_systolic_pe_artix7.v
+ lib/lowlevel/artix7/dsp48e1_wrapper.v
+ lib/lowlevel/artix7/dsp48e1_wrapper_modexp.v
[core modexps6]
# ModExp for Xilinx Spartan-6
@@ -279,49 +279,27 @@ block memory = yes
error wire = no
module name = ecdsa256_wrapper
vfiles =
- pkey/ecdsa256/rtl/curve/curve_dbl_add_256.v
- pkey/ecdsa256/rtl/curve/curve_mul_256.v
- pkey/ecdsa256/rtl/curve/rom/brom_p256_delta.v
- pkey/ecdsa256/rtl/curve/rom/brom_p256_g_x.v
- pkey/ecdsa256/rtl/curve/rom/brom_p256_g_y.v
- pkey/ecdsa256/rtl/curve/rom/brom_p256_h_x.v
- pkey/ecdsa256/rtl/curve/rom/brom_p256_h_y.v
- pkey/ecdsa256/rtl/curve/rom/brom_p256_one.v
- pkey/ecdsa256/rtl/curve/rom/brom_p256_q.v
- pkey/ecdsa256/rtl/curve/rom/brom_p256_zero.v
- pkey/ecdsa256/rtl/ecdsa256.v
+ pkey/ecdsa256/rtl/ecdsa256_banks_array.v
+ pkey/ecdsa256/rtl/ecdsa256_base_point_multiplier.v
+ pkey/ecdsa256/rtl/ecdsa256_core_top.v
+ pkey/ecdsa256/rtl/ecdsa256_microcode_rom.v
+ pkey/ecdsa256/rtl/ecdsa256_operand_bank.v
+ pkey/ecdsa256/rtl/ecdsa256_uop_worker.v
pkey/ecdsa256/rtl/ecdsa256_wrapper.v
- pkey/ecdsa256/rtl/modular/modular_multiplier_256.v
- pkey/ecdsa256/rtl/modular/modular_reductor_256.v
- math/ecdsalib/rtl/curve/uop_ecdsa.v
- math/ecdsalib/rtl/curve/uop/uop_add_rom.v
- math/ecdsalib/rtl/curve/uop/uop_conv_rom.v
- math/ecdsalib/rtl/curve/uop/uop_dbl_rom.v
- math/ecdsalib/rtl/curve/uop/uop_init_rom.v
- math/ecdsalib/rtl/lowlevel/adder32_wrapper.v
- math/ecdsalib/rtl/lowlevel/adder47_wrapper.v
- math/ecdsalib/rtl/lowlevel/artix7/adder32_artix7.v
- math/ecdsalib/rtl/lowlevel/artix7/adder47_artix7.v
- math/ecdsalib/rtl/lowlevel/artix7/dsp48e1_wrapper.v
- math/ecdsalib/rtl/lowlevel/artix7/mac16_artix7.v
- math/ecdsalib/rtl/lowlevel/artix7/subtractor32_artix7.v
- math/ecdsalib/rtl/lowlevel/ecdsa_lowlevel_settings.v
- math/ecdsalib/rtl/lowlevel/mac16_wrapper.v
- math/ecdsalib/rtl/lowlevel/subtractor32_wrapper.v
- math/ecdsalib/rtl/modular/modular_adder.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_copy.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_init.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_compare.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_precalc.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_update.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_reduce_precalc.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_reduce_update.v
- math/ecdsalib/rtl/modular/modular_invertor/modinv_clog2.v
- math/ecdsalib/rtl/modular/modular_invertor/modular_invertor.v
- math/ecdsalib/rtl/modular/modular_subtractor.v
- math/ecdsalib/rtl/multiword/mw_comparator.v
- math/ecdsalib/rtl/multiword/mw_mover.v
- math/ecdsalib/rtl/util/bram_1rw_1ro_readfirst.v
+ math/ecdsalib/rtl/modular/ecdsa256_modular_multiplier.v
+ math/ecdsalib/rtl/modular/ecdsa256_modular_reductor.v
+ math/ecdsalib/rtl/modular/ecdsa256_modulus_distmem.v
+ lib/memory/bram_1rw_1ro_readfirst.v
+ lib/lowlevel/artix7/mac16_artix7.v
+ lib/lowlevel/artix7/adder32_artix7.v
+ lib/lowlevel/artix7/subtractor32_artix7.v
+ lib/lowlevel/artix7/adder47_artix7.v
+ lib/multiword/multiword_mover.v
+ lib/multiword/multiword_comparator.v
+ lib/modular/modular_adder.v
+ lib/modular/modular_subtractor.v
+ lib/lowlevel/artix7/dsp48e1_wrapper.v
+
[core ecdsa384]
# ECDSA-P384 point multipler
@@ -331,46 +309,23 @@ block memory = yes
error wire = no
module name = ecdsa384_wrapper
vfiles =
- pkey/ecdsa384/rtl/curve/curve_dbl_add_384.v
- pkey/ecdsa384/rtl/curve/curve_mul_384.v
- pkey/ecdsa384/rtl/curve/rom/brom_p384_delta.v
- pkey/ecdsa384/rtl/curve/rom/brom_p384_g_x.v
- pkey/ecdsa384/rtl/curve/rom/brom_p384_g_y.v
- pkey/ecdsa384/rtl/curve/rom/brom_p384_h_x.v
- pkey/ecdsa384/rtl/curve/rom/brom_p384_h_y.v
- pkey/ecdsa384/rtl/curve/rom/brom_p384_one.v
- pkey/ecdsa384/rtl/curve/rom/brom_p384_q.v
- pkey/ecdsa384/rtl/curve/rom/brom_p384_zero.v
- pkey/ecdsa384/rtl/ecdsa384.v
+ pkey/ecdsa384/rtl/ecdsa384_banks_array.v
+ pkey/ecdsa384/rtl/ecdsa384_base_point_multiplier.v
+ pkey/ecdsa384/rtl/ecdsa384_core_top.v
+ pkey/ecdsa384/rtl/ecdsa384_microcode_rom.v
+ pkey/ecdsa384/rtl/ecdsa384_operand_bank.v
+ pkey/ecdsa384/rtl/ecdsa384_uop_worker.v
pkey/ecdsa384/rtl/ecdsa384_wrapper.v
- pkey/ecdsa384/rtl/modular/modular_multiplier_384.v
- pkey/ecdsa384/rtl/modular/modular_reductor_384.v
- math/ecdsalib/rtl/curve/uop_ecdsa.v
- math/ecdsalib/rtl/curve/uop/uop_add_rom.v
- math/ecdsalib/rtl/curve/uop/uop_conv_rom.v
- math/ecdsalib/rtl/curve/uop/uop_dbl_rom.v
- math/ecdsalib/rtl/curve/uop/uop_init_rom.v
- math/ecdsalib/rtl/lowlevel/adder32_wrapper.v
- math/ecdsalib/rtl/lowlevel/adder47_wrapper.v
- math/ecdsalib/rtl/lowlevel/artix7/adder32_artix7.v
- math/ecdsalib/rtl/lowlevel/artix7/adder47_artix7.v
- math/ecdsalib/rtl/lowlevel/artix7/dsp48e1_wrapper.v
- math/ecdsalib/rtl/lowlevel/artix7/mac16_artix7.v
- math/ecdsalib/rtl/lowlevel/artix7/subtractor32_artix7.v
- math/ecdsalib/rtl/lowlevel/ecdsa_lowlevel_settings.v
- math/ecdsalib/rtl/lowlevel/mac16_wrapper.v
- math/ecdsalib/rtl/lowlevel/subtractor32_wrapper.v
- math/ecdsalib/rtl/modular/modular_adder.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_copy.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_init.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_compare.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_precalc.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_invert_update.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_reduce_precalc.v
- math/ecdsalib/rtl/modular/modular_invertor/helper/modinv_helper_reduce_update.v
- math/ecdsalib/rtl/modular/modular_invertor/modinv_clog2.v
- math/ecdsalib/rtl/modular/modular_invertor/modular_invertor.v
- math/ecdsalib/rtl/modular/modular_subtractor.v
- math/ecdsalib/rtl/multiword/mw_comparator.v
- math/ecdsalib/rtl/multiword/mw_mover.v
- math/ecdsalib/rtl/util/bram_1rw_1ro_readfirst.v
+ math/ecdsalib/rtl/modular/ecdsa384_modular_multiplier.v
+ math/ecdsalib/rtl/modular/ecdsa384_modular_reductor.v
+ math/ecdsalib/rtl/modular/ecdsa384_modulus_distmem.v
+ lib/memory/bram_1rw_1ro_readfirst.v
+ lib/lowlevel/artix7/mac16_artix7.v
+ lib/lowlevel/artix7/adder32_artix7.v
+ lib/lowlevel/artix7/subtractor32_artix7.v
+ lib/lowlevel/artix7/adder47_artix7.v
+ lib/multiword/multiword_mover.v
+ lib/multiword/multiword_comparator.v
+ lib/modular/modular_adder.v
+ lib/modular/modular_subtractor.v
+ lib/lowlevel/artix7/dsp48e1_wrapper.v