summaryrefslogtreecommitdiff
path: root/rtl/ed25519_core_top.v
diff options
context:
space:
mode:
Diffstat (limited to 'rtl/ed25519_core_top.v')
-rw-r--r--rtl/ed25519_core_top.v2
1 files changed, 0 insertions, 2 deletions
diff --git a/rtl/ed25519_core_top.v b/rtl/ed25519_core_top.v
index 6bd1c41..90231e6 100644
--- a/rtl/ed25519_core_top.v
+++ b/rtl/ed25519_core_top.v
@@ -30,8 +30,6 @@
//
//======================================================================
-`timescale 1ns / 1ps
-
module ed25519_core_top
(
input wire clk,