summaryrefslogtreecommitdiff
path: root/src/rtl/modexps6_buffer_user.v
blob: 6072fc99c3d67e746ed4abe937703470894f5b0e (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
`timescale 1ns / 1ps

module modexps6_buffer_user
	(
		clk,
		
		bus_cs, bus_we,
		bus_addr, bus_data_wr, bus_data_rd,
		
		ro_modulus_bram_addr,  ro_modulus_bram_out,
		ro_message_bram_addr,  ro_message_bram_out,
		ro_exponent_bram_addr, ro_exponent_bram_out,
		rw_result_bram_addr,
		rw_result_bram_wr,     rw_result_bram_in
	);
	
	
		//
		// Parameters
		//
	parameter OPERAND_ADDR_WIDTH	=  5;		// 1024 / 32 = 32 -> 5 bits
	
	
		//
		// Locals
		//
	localparam	ADDR_WIDTH_TOTAL	= OPERAND_ADDR_WIDTH + 2;
	
	localparam	[ 1: 0]	BUS_ADDR_BANK_MODULUS	= 2'b00;
	localparam	[ 1: 0]	BUS_ADDR_BANK_MESSAGE	= 2'b01;
	localparam	[ 1: 0]	BUS_ADDR_BANK_EXPONENT	= 2'b10;
	localparam	[ 1: 0]	BUS_ADDR_BANK_RESULT		= 2'b11;
	
		//
		// Ports
		//
	input		wire										clk;
		
	input		wire										bus_cs;
	input		wire										bus_we;
	input		wire	[  ADDR_WIDTH_TOTAL-1:0]	bus_addr;
	input		wire	[                  31:0]	bus_data_wr;
	output	wire	[                  31:0]	bus_data_rd;
		
	input		wire	[OPERAND_ADDR_WIDTH-1:0]	ro_modulus_bram_addr;
	output	wire	[                  31:0]	ro_modulus_bram_out;
	
	input		wire	[OPERAND_ADDR_WIDTH-1:0]	ro_message_bram_addr;
	output	wire	[                  31:0]	ro_message_bram_out;
		
	input		wire	[OPERAND_ADDR_WIDTH-1:0]	ro_exponent_bram_addr;
	output	wire	[                  31:0]	ro_exponent_bram_out;
	
	input		wire	[OPERAND_ADDR_WIDTH-1:0]	rw_result_bram_addr;
	input		wire										rw_result_bram_wr;
	input		wire	[                  31:0]	rw_result_bram_in;
		
		
		//
		// Address Decoder
		//
	wire	[OPERAND_ADDR_WIDTH-1:0]	bus_addr_operand_word = bus_addr[OPERAND_ADDR_WIDTH-1:0];
	wire	[                   1:0]	bus_addr_operand_bank = bus_addr[ADDR_WIDTH_TOTAL-1:ADDR_WIDTH_TOTAL-2];
	

		//
		// Modulus Memory
		//
	wire	[31: 0]	bus_data_rd_modulus;
	
	ram_1rw_1ro_readfirst #
	(
		.MEM_WIDTH		(32),
		.MEM_ADDR_BITS	(OPERAND_ADDR_WIDTH)
	)
	mem_modulus
	(
		.clk		(clk),
		
		.a_addr	(bus_addr_operand_word),
		.a_wr		(bus_cs & bus_we & (bus_addr_operand_bank == BUS_ADDR_BANK_MODULUS)),
		.a_in		(bus_data_wr),
		.a_out	(bus_data_rd_modulus),
		
		.b_addr	(ro_modulus_bram_addr),
		.b_out	(ro_modulus_bram_out)
	);
	
	
		//
		// Message Memory
		//
	wire	[31: 0]	bus_data_rd_message;
	
	ram_1rw_1ro_readfirst #
	(
		.MEM_WIDTH		(32),
		.MEM_ADDR_BITS	(OPERAND_ADDR_WIDTH)
	)
	mem_message
	(
		.clk		(clk),
		
		.a_addr	(bus_addr_operand_word),
		.a_wr		(bus_cs & bus_we & (bus_addr_operand_bank == BUS_ADDR_BANK_MESSAGE)),
		.a_in		(bus_data_wr),
		.a_out	(bus_data_rd_message),
		
		.b_addr	(ro_message_bram_addr),
		.b_out	(ro_message_bram_out)
	);
	
	
		//
		// Exponent Memory
		//
	wire	[31: 0]	bus_data_rd_exponent;
	
	ram_1rw_1ro_readfirst #
	(
		.MEM_WIDTH		(32),
		.MEM_ADDR_BITS	(OPERAND_ADDR_WIDTH)
	)
	mem_exponent
	(
		.clk		(clk),
		
		.a_addr	(bus_addr_operand_word),
		.a_wr		(bus_cs & bus_we & (bus_addr_operand_bank == BUS_ADDR_BANK_EXPONENT)),
		.a_in		(bus_data_wr),
		.a_out	(bus_data_rd_exponent),
		
		.b_addr	(ro_exponent_bram_addr),
		.b_out	(ro_exponent_bram_out)
	);
	
	
		//
		// Result Memory
		//
	wire	[31: 0]	bus_data_rd_result;
	
	ram_1rw_1ro_readfirst #
	(
		.MEM_WIDTH		(32),
		.MEM_ADDR_BITS	(OPERAND_ADDR_WIDTH)
	)
	mem_result
	(
		.clk		(clk),
		
		.a_addr	(rw_result_bram_addr),
		.a_wr		(rw_result_bram_wr),
		.a_in		(rw_result_bram_in),
		.a_out	(),
		
		.b_addr	(bus_addr_operand_word),
		.b_out	(bus_data_rd_result)
	);
	
	
		//
		// Output Selector
		//
	reg	[ 1: 0]	bus_addr_operand_bank_prev;
	always @(posedge clk) bus_addr_operand_bank_prev = bus_addr_operand_bank;
	
	reg	[31: 0]	bus_data_rd_mux;
	assign bus_data_rd = bus_data_rd_mux;	
	
	always @(*)
		//
		case (bus_addr_operand_bank_prev)
			//
			BUS_ADDR_BANK_MODULUS:		bus_data_rd_mux = bus_data_rd_modulus;
			BUS_ADDR_BANK_MESSAGE:		bus_data_rd_mux = bus_data_rd_message;
			BUS_ADDR_BANK_EXPONENT:		bus_data_rd_mux = bus_data_rd_exponent;
			BUS_ADDR_BANK_RESULT:		bus_data_rd_mux = bus_data_rd_result;
			//
			default:							bus_data_rd_mux = {32{1'bX}};
			//
		endcase

	
endmodule