summaryrefslogtreecommitdiff
path: root/src/rtl/modexps6_buffer_core.v
blob: 86a6a4dcef95552bbf852a93cc7c6ed6e48ae7cb (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
`timescale 1ns / 1ps

module modexps6_buffer_core
	(
		clk,
		rw_coeff_bram_addr, rw_coeff_bram_wr, rw_coeff_bram_in, rw_coeff_bram_out, ro_coeff_bram_addr, ro_coeff_bram_out,
		rw_mm_bram_addr,    rw_mm_bram_wr,    rw_mm_bram_in,    rw_mm_bram_out,    ro_mm_bram_addr,    ro_mm_bram_out,
		rw_nn_bram_addr,    rw_nn_bram_wr,    rw_nn_bram_in,                       ro_nn_bram_addr,    ro_nn_bram_out,
		rw_y_bram_addr,     rw_y_bram_wr,     rw_y_bram_in,     rw_y_bram_out,
		rw_r_bram_addr,     rw_r_bram_wr,     rw_r_bram_in,     rw_r_bram_out,     ro_r_bram_addr,     ro_r_bram_out,
		rw_t_bram_addr,     rw_t_bram_wr,     rw_t_bram_in,     rw_t_bram_out,     ro_t_bram_addr,     ro_t_bram_out
	);

		//
		// Parameters
		//
	parameter OPERAND_ADDR_WIDTH	=  5;		// 1024 / 32 = 32 -> 5 bits
	
	
		//
		// Ports
		//
	input		wire									clk;
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	rw_coeff_bram_addr;
	input		wire									rw_coeff_bram_wr;
	input		wire	[                31:0]	rw_coeff_bram_in;
	output	wire	[                31:0]	rw_coeff_bram_out;
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	rw_mm_bram_addr;
	input		wire									rw_mm_bram_wr;
	input		wire	[                31:0]	rw_mm_bram_in;
	output	wire	[                31:0]	rw_mm_bram_out;	
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	rw_nn_bram_addr;
	input		wire									rw_nn_bram_wr;
	input		wire	[                31:0]	rw_nn_bram_in;
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	rw_y_bram_addr;
	input		wire									rw_y_bram_wr;
	input		wire	[                31:0]	rw_y_bram_in;
	output	wire	[                31:0]	rw_y_bram_out;
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	rw_r_bram_addr;
	input		wire									rw_r_bram_wr;
	input		wire	[                31:0]	rw_r_bram_in;
	output	wire	[                31:0]	rw_r_bram_out;
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	rw_t_bram_addr;
	input		wire									rw_t_bram_wr;
	input		wire	[                31:0]	rw_t_bram_in;
	output	wire	[                31:0]	rw_t_bram_out;
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	ro_coeff_bram_addr;
	output	wire	[                31:0]	ro_coeff_bram_out;
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	ro_mm_bram_addr;
	output	wire	[                31:0]	ro_mm_bram_out;
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	ro_nn_bram_addr;
	output	wire	[                31:0]	ro_nn_bram_out;
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	ro_r_bram_addr;
	output	wire	[                31:0]	ro_r_bram_out;
	
	input		wire	[OPERAND_ADDR_WIDTH:0]	ro_t_bram_addr;
	output	wire	[                31:0]	ro_t_bram_out;
	
	
		//
		// Montgomery Coefficient
		//
	ram_1rw_1ro_readfirst #
	(
		.MEM_WIDTH		(32),
		.MEM_ADDR_BITS	(OPERAND_ADDR_WIDTH+1)
	)
	mem_coeff
	(
		.clk		(clk),
		
		.a_addr	(rw_coeff_bram_addr),
		.a_wr		(rw_coeff_bram_wr),
		.a_in		(rw_coeff_bram_in),
		.a_out	(rw_coeff_bram_out),
		
		.b_addr	(ro_coeff_bram_addr),
		.b_out	(ro_coeff_bram_out)
	);
	
	
		//
		// Powers of Message
		//
	ram_1rw_1ro_readfirst #
	(
		.MEM_WIDTH		(32),
		.MEM_ADDR_BITS	(OPERAND_ADDR_WIDTH+1)
	)
	mem_mm
	(
		.clk		(clk),
		
		.a_addr	(rw_mm_bram_addr),
		.a_wr		(rw_mm_bram_wr),
		.a_in		(rw_mm_bram_in),
		.a_out	(rw_mm_bram_out),
		
		.b_addr	(ro_mm_bram_addr),
		.b_out	(ro_mm_bram_out)
	);
	
	
		//
		// Extended Modulus
		//
	ram_1rw_1ro_readfirst #
	(
		.MEM_WIDTH		(32),
		.MEM_ADDR_BITS	(OPERAND_ADDR_WIDTH+1)
	)
	mem_nn
	(
		.clk		(clk),
		
		.a_addr	(rw_nn_bram_addr),
		.a_wr		(rw_nn_bram_wr),
		.a_in		(rw_nn_bram_in),
		.a_out	(),
		
		.b_addr	(ro_nn_bram_addr),
		.b_out	(ro_nn_bram_out)
	);
	
	
		//
		// Output
		//
	ram_1rw_1ro_readfirst #
	(
		.MEM_WIDTH		(32),
		.MEM_ADDR_BITS	(OPERAND_ADDR_WIDTH+1)
	)
	mem_y
	(
		.clk		(clk),
		
		.a_addr	(rw_y_bram_addr),
		.a_wr		(rw_y_bram_wr),
		.a_in		(rw_y_bram_in),
		.a_out	(rw_y_bram_out),
		
		.b_addr	({(OPERAND_ADDR_WIDTH+1){1'b0}}),
		.b_out	()
	);
		
		
		//
		// Result of Multiplication
		//
	ram_1rw_1ro_readfirst #
	(
		.MEM_WIDTH		(32),
		.MEM_ADDR_BITS	(OPERAND_ADDR_WIDTH+1)
	)
	mem_r
	(
		.clk		(clk),
		
		.a_addr	(rw_r_bram_addr),
		.a_wr		(rw_r_bram_wr),
		.a_in		(rw_r_bram_in),
		.a_out	(rw_r_bram_out),
		
		.b_addr	(ro_r_bram_addr),
		.b_out	(ro_r_bram_out)
	);
	
	
		//
		// Temporary Buffer
		//
	ram_1rw_1ro_readfirst #
	(
		.MEM_WIDTH		(32),
		.MEM_ADDR_BITS	(OPERAND_ADDR_WIDTH+1)
	)
	mem_t
	(
		.clk		(clk),
		
		.a_addr	(rw_t_bram_addr),
		.a_wr		(rw_t_bram_wr),
		.a_in		(rw_t_bram_in),
		.a_out	(rw_t_bram_out),
		
		.b_addr	(ro_t_bram_addr),
		.b_out	(ro_t_bram_out)
	);
	
	
endmodule