aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_wrapper.v
blob: bd8dbf124f683a7f1fdf29760c407693a8d16433 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
`timescale 1ns / 1ps

module tb_wrapper;

	// Inputs
	reg clk;
	reg rst_n;
	reg cs;
	reg we;
	reg [7:0] address;
	reg [31:0] write_data;

	// Outputs
	wire [31:0] read_data;

	// Instantiate the Unit Under Test (UUT)
	modexpa7_wrapper uut (
		.clk(clk), 
		.rst_n(rst_n), 
		.cs(cs), 
		.we(we), 
		.address(address), 
		.write_data(write_data), 
		.read_data(read_data)
	);

	initial begin
		// Initialize Inputs
		clk = 0;
		rst_n = 0;
		cs = 0;
		we = 0;
		address = 0;
		write_data = 0;

		// Wait 100 ns for global reset to finish
		#100;
        
		// Add stimulus here

	end
      
endmodule