aboutsummaryrefslogtreecommitdiff
path: root/src/rtl/blockmem2r1w.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/rtl/blockmem2r1w.v')
-rw-r--r--src/rtl/blockmem2r1w.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/rtl/blockmem2r1w.v b/src/rtl/blockmem2r1w.v
index 557e810..aa44101 100644
--- a/src/rtl/blockmem2r1w.v
+++ b/src/rtl/blockmem2r1w.v
@@ -54,7 +54,7 @@ module blockmem2r1w #(parameter OPW = 32, parameter ADW = 8)
input wire [(OPW - 1) : 0] write_data
);
- reg [(OPW - 1) : 0] mem [0 : (ADW ** 2 - 1)];
+ reg [(OPW - 1) : 0] mem [0 : ((2**ADW) - 1)];
reg [(OPW - 1) : 0] tmp_read_data0;
reg [(OPW - 1) : 0] tmp_read_data1;