aboutsummaryrefslogtreecommitdiff
path: root/src/rtl/adder32.v
diff options
context:
space:
mode:
authorJoachim StroĢˆmbergson <joachim@secworks.se>2015-04-13 14:22:09 +0200
committerJoachim StroĢˆmbergson <joachim@secworks.se>2015-04-13 14:22:09 +0200
commitabef23206f8882ba00dddf3293c250c4601fd920 (patch)
treeb9428572a0739f09b90dd6d94817d74276108afc /src/rtl/adder32.v
parentdfe1b774af4123106d45c8135a63660bb4c6c884 (diff)
Adding initial versions of rtl for modexp. Montgomery multiplication works for 8192 bit operands. Modexp can build, but lacks proper control and residue generator. Memories has been tested to match block memories in Xilinx and Altera FPGAs.
Diffstat (limited to 'src/rtl/adder32.v')
-rw-r--r--src/rtl/adder32.v54
1 files changed, 54 insertions, 0 deletions
diff --git a/src/rtl/adder32.v b/src/rtl/adder32.v
new file mode 100644
index 0000000..d9cac45
--- /dev/null
+++ b/src/rtl/adder32.v
@@ -0,0 +1,54 @@
+//======================================================================
+//
+// adder32.v
+// ---------
+// 32bit adder with carry in / carry out
+//
+//
+// Author: Peter Magnusson
+// Copyright (c) 2015, NORDUnet A/S All rights reserved.
+//
+// Redistribution and use in source and binary forms, with or without
+// modification, are permitted provided that the following conditions are
+// met:
+// - Redistributions of source code must retain the above copyright notice,
+// this list of conditions and the following disclaimer.
+//
+// - Redistributions in binary form must reproduce the above copyright
+// notice, this list of conditions and the following disclaimer in the
+// documentation and/or other materials provided with the distribution.
+//
+// - Neither the name of the NORDUnet nor the names of its contributors may
+// be used to endorse or promote products derived from this software
+// without specific prior written permission.
+//
+// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS
+// IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
+// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
+// PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
+// HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
+// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED
+// TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
+// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
+// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
+// NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
+// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+//
+//======================================================================
+
+
+module adder32(
+ input [31 : 0] a,
+ input [31 : 0] b,
+ input carry_in,
+ output wire [31 : 0] sum,
+ output wire carry_out);
+
+ reg [32 : 0] adder_result;
+
+ assign sum = adder_result[31:0];
+ assign carry_out = adder_result[32];
+
+ always @(a, b, carry_in)
+ adder_result = {1'b0, a} + {1'b0, b} + {32'b0, carry_in};
+endmodule