aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_sha512_core.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/tb/tb_sha512_core.v')
-rw-r--r--src/tb/tb_sha512_core.v6
1 files changed, 3 insertions, 3 deletions
diff --git a/src/tb/tb_sha512_core.v b/src/tb/tb_sha512_core.v
index 955fee6..0d198d6 100644
--- a/src/tb/tb_sha512_core.v
+++ b/src/tb/tb_sha512_core.v
@@ -176,7 +176,7 @@ module tb_sha512_core();
dut.state_init, dut.state_update);
$display("first_block = 0x%01x, ready_flag = 0x%01x, w_init = 0x%01x",
dut.first_block, dut.ready_flag, dut.w_init);
- $display("round_ctr_inc = 0x%01x, round_ctr_rst = 0x%01x, round_ctr_reg = 0x%02x",
+ $display("round_ctr_inc = 0x%01x, round_ctr_rst = 0x%01x, round_ctr_reg = 0x%02x",
dut.round_ctr_inc, dut.round_ctr_rst, dut.round_ctr_reg);
$display("");
@@ -194,7 +194,7 @@ module tb_sha512_core();
$display("State update values:");
$display("w = 0x%08x, k = 0x%08x", dut.w_data, dut.k_data);
- $display("t1 = 0x%08x, t2 = 0x%08x", dut.t1, dut.t2);
+ $display("t1 = 0x%08x, t2 = 0x%08x", dut.t1_new, dut.t2_new);
$display("");
end
endtask // dump_dut_state
@@ -260,7 +260,7 @@ module tb_sha512_core();
tb_next = 0;
tb_next = 2'b00;
tb_mode = 2'b00;
- tb_block = {32{32'h00000000}};
+ tb_block = {1024'h0};
end
endtask // init_dut