aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_sha512.v
diff options
context:
space:
mode:
authorJoachim StroĢˆmbergson <joachim@secworks.se>2018-04-03 11:59:25 +0200
committerJoachim StroĢˆmbergson <joachim@secworks.se>2018-04-03 11:59:25 +0200
commit00f002c478e718d8bc6a71d148816820a8e65fc7 (patch)
treef3d12d970150ec8cfd69697a74c8d5016917432e /src/tb/tb_sha512.v
parent285a7830994783cd04307e4274749a84123a26b5 (diff)
Non functional cleanups: (1) Changed name of round counter to show what is used for. (2) Fixed timescale and empty parentheses of tasks in testbenches. (3) Fixed targets in Makefile to build if needed.
Diffstat (limited to 'src/tb/tb_sha512.v')
-rw-r--r--src/tb/tb_sha512.v19
1 files changed, 7 insertions, 12 deletions
diff --git a/src/tb/tb_sha512.v b/src/tb/tb_sha512.v
index a0dcb4c..8bc0068 100644
--- a/src/tb/tb_sha512.v
+++ b/src/tb/tb_sha512.v
@@ -37,11 +37,6 @@
//
//======================================================================
-//------------------------------------------------------------------
-// Simulator directives.
-//------------------------------------------------------------------
-`timescale 1ns/10ps
-
//------------------------------------------------------------------
// Test module.
@@ -202,7 +197,7 @@ module tb_sha512();
//
// Dump the state of the dump when needed.
//----------------------------------------------------------------
- task dump_dut_state();
+ task dump_dut_state;
begin
$display("State of DUT");
$display("------------");
@@ -257,7 +252,7 @@ module tb_sha512();
//
// Toggles reset to force the DUT into a well defined state.
//----------------------------------------------------------------
- task reset_dut();
+ task reset_dut;
begin
$display("*** Toggle reset.");
tb_reset_n = 0;
@@ -275,7 +270,7 @@ module tb_sha512();
// Initialize all counters and testbed functionality as well
// as setting the DUT inputs to defined values.
//----------------------------------------------------------------
- task init_sim();
+ task init_sim;
begin
cycle_ctr = 32'h00000000;
error_ctr = 32'h00000000;
@@ -296,7 +291,7 @@ module tb_sha512();
//
// Display the accumulated test results.
//----------------------------------------------------------------
- task display_test_result();
+ task display_test_result;
begin
if (error_ctr == 0)
begin
@@ -321,7 +316,7 @@ module tb_sha512();
// when the dut is actively processing and will in fact at some
// point set the flag.
//----------------------------------------------------------------
- task wait_ready();
+ task wait_ready;
begin
read_data = 0;
@@ -431,7 +426,7 @@ module tb_sha512();
//
// Read the name and version from the DUT.
//----------------------------------------------------------------
- task check_name_version();
+ task check_name_version;
reg [31 : 0] name0;
reg [31 : 0] name1;
reg [31 : 0] version;
@@ -459,7 +454,7 @@ module tb_sha512();
// Read the digest in the dut. The resulting digest will be
// available in the global variable digest_data.
//----------------------------------------------------------------
- task read_digest();
+ task read_digest;
begin
read_word(ADDR_DIGEST0);
digest_data[511 : 480] = read_data;