aboutsummaryrefslogtreecommitdiff
path: root/src/tb/tb_sha1.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/tb/tb_sha1.v')
-rw-r--r--src/tb/tb_sha1.v5
1 files changed, 3 insertions, 2 deletions
diff --git a/src/tb/tb_sha1.v b/src/tb/tb_sha1.v
index 1bc10e8..c3c8eda 100644
--- a/src/tb/tb_sha1.v
+++ b/src/tb/tb_sha1.v
@@ -100,6 +100,7 @@ module tb_sha1();
reg [7 : 0] tb_address;
reg [31 : 0] tb_data_in;
wire [31 : 0] tb_data_out;
+ wire tb_error;
reg [31 : 0] read_data;
reg [159 : 0] digest_data;
@@ -216,8 +217,8 @@ module tb_sha1();
$display("sha1_ctrl_reg = 0x%01x", dut.core.sha1_ctrl_reg);
$display("digest_init = 0x%01x, digest_update = 0x%01x",
dut.core.digest_init, dut.core.digest_update);
- $display("init_state = 0x%01x, update_state = 0x%01x",
- dut.core.init_state, dut.core.update_state);
+ $display("init_state = 0x%01x, update_state = 0x%01x, set_state = 0x%01x",
+ dut.core.init_state, dut.core.update_state, dut.core.set_state);
$display("first_block = 0x%01x, ready_flag = 0x%01x, w_init = 0x%01x",
dut.core.first_block, dut.core.ready_flag, dut.core.w_init);
$display("round_ctr_inc = 0x%01x, round_ctr_rst = 0x%01x, round_ctr_reg = 0x%02x",