aboutsummaryrefslogtreecommitdiff
path: root/src/rtl/i2c_regs.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/rtl/i2c_regs.v')
-rw-r--r--src/rtl/i2c_regs.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/rtl/i2c_regs.v b/src/rtl/i2c_regs.v
index 66b5830..76cf52f 100644
--- a/src/rtl/i2c_regs.v
+++ b/src/rtl/i2c_regs.v
@@ -39,7 +39,7 @@ module comm_regs
(
// Clock and reset.
input wire clk,
- input wire rst,
+ input wire reset_n,
// Control.
input wire cs,