aboutsummaryrefslogtreecommitdiff
path: root/src/rtl/eim_regs.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/rtl/eim_regs.v')
-rw-r--r--src/rtl/eim_regs.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/rtl/eim_regs.v b/src/rtl/eim_regs.v
index 6372045..2f4c3df 100644
--- a/src/rtl/eim_regs.v
+++ b/src/rtl/eim_regs.v
@@ -39,7 +39,7 @@ module comm_regs
(
// Clock and reset.
input wire clk,
- input wire rst,
+ input wire reset_n,
// Control.
input wire cs,