aboutsummaryrefslogtreecommitdiff
path: root/rtl/dsp/dsp_array.v
blob: 178f87fa1359f4404a8741f834462406c345e496 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
module dsp_array
(
    input             clk,
    
    input             ce_a,
    input             ce_b,
    input             ce_m,
    input             ce_p,
    input             ce_mode,

    input  [8   -1:0] mode_z,
    
    input  [4*18-1:0] a,
    input  [1*17-1:0] b,
    output [8*47-1:0] p
);

    `include "../modexpng_parameters_x8.vh"
    
    wire [17:0] casc_a[0:3];
    wire [16:0] casc_b[0:3];
    
    wire ce_a0 = ce_a;
    reg  ce_a1 = 1'b0;
    reg  ce_a2 = 1'b0;
    
    wire ce_b0 = ce_b;
    reg  ce_b1 = 1'b0;
    
    always @(posedge clk) begin
        ce_a1 <= ce_a0;
        ce_a2 <= ce_a1;
        ce_b1 <= ce_b0;
    end
        
    
    genvar z;
    generate for (z=0; z<(NUM_MULTS/2); z=z+1)
        //
        begin : DSP48E1
            //        
            dsp_slice #
            (
                .AB_INPUT("DIRECT"),
                .B_REG(2)
            )
            dsp_direct
            (
                .clk            (clk),
                
                .ce_a1          (ce_a0),
                .ce_b1          (ce_b0),
                .ce_a2          (ce_a1),
                .ce_b2          (ce_b1),
                .ce_m           (ce_m),
                .ce_p           (ce_p),
                .ce_mode        (ce_mode),
                
                .a              (a[z*18+:18]),
                .b              (b),
                .p              (p[47*2*z+:47]),
                
                .inmode         (5'b00000),
                .opmode         ({1'b0, mode_z[2*z], 1'b0, 2'b01, 2'b01}),
                .alumode        (4'b0000),
                
                .casc_a_in      ({17{1'b0}}),
                .casc_b_in      ({17{1'b0}}),
                
                .casc_a_out     (casc_a[z]),
                .casc_b_out     (casc_b[z])
            );
            //
            dsp_slice #
            (
                .AB_INPUT("CASCADE"),
                .B_REG(1)
            )
            dsp_cascade
            (
                .clk            (clk),
                
                .ce_a1          (ce_a1),
                .ce_b1          (1'b0),
                .ce_a2          (ce_a2),
                .ce_b2          (ce_b1),
                .ce_m           (ce_m),
                .ce_p           (ce_p),
                .ce_mode        (ce_mode),
                
                .a              (a[z*18+:18]),
                .b              (b),
                .p              (p[47*(2*z+1)+:47]),
                
                .inmode         (5'b00000),
                .opmode         ({1'b0, mode_z[2*z+1], 1'b0, 2'b01, 2'b01}),
                .alumode        (4'b0000),
                
                .casc_a_in      (casc_a[z]),
                .casc_b_in      (casc_b[z]),
                
                .casc_a_out     (),
                .casc_b_out     ()
            );
            //            
        end
        //
    endgenerate


endmodule