module mkm_test1 ( output [3:0] leds // {red, yellow, green, blue} // PCB: | BLUE | RED | YELLOW | GREEN | ); wire clk_osc_hf; // 48 MHz SB_HFOSC # ( .CLKHF_DIV ("0b00") ) SB_HFOSC_inst ( .CLKHFPU (1'b1), .CLKHFEN (1'b1), .CLKHF (clk_osc_hf) ) /* synthesis ROUTE_THROUGH_FABRIC = 0 */; reg [26:0] cnt = 27'd0; always @(posedge clk_osc_hf) // cnt <= cnt + 1'b1; assign leds = cnt[26:23]; endmodule