aboutsummaryrefslogtreecommitdiff
path: root/test_vectors/ecdh_test_vectors.v
blob: 7a5ef2697cb46fb49fd25a26ec2b11e3f0b750ad (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
/* Generated automatically, do not edit. */

localparam [255:0] P_256_N =
	{32'hffffffff, 32'h00000000, 32'hffffffff, 32'hffffffff, 
	 32'hbce6faad, 32'ha7179e84, 32'hf3b9cac2, 32'hfc632551};

localparam [255:0] P_256_DA =
	{32'h404d4afa, 32'h3865a3d6, 32'hf921ccb4, 32'h7cdea4e9, 
	 32'h276c3d45, 32'h6e84d196, 32'h63324daf, 32'h8c5e2f44};

localparam [255:0] P_256_QA_X =
	{32'h6f3b61af, 32'h3d79d1b6, 32'h7c128369, 32'h1fe8e872, 
	 32'hde81e17a, 32'h06b5d4e1, 32'haeedcd57, 32'h09bf1d1b};

localparam [255:0] P_256_QA_Y =
	{32'hd1345bcc, 32'ha022ea89, 32'h53b04c2d, 32'h11fc24f8, 
	 32'h0b3b7f84, 32'h7b79deee, 32'hd92ec430, 32'hd8ec3c98};

localparam [255:0] P_256_QA2_X =
	{32'h1aa13502, 32'h273db88f, 32'h21b4b0be, 32'h688a06c6, 
	 32'h6a6019ef, 32'h7a00eb42, 32'h8e84a4b0, 32'h1dac831a};

localparam [255:0] P_256_QA2_Y =
	{32'h60b3485e, 32'hf3357265, 32'h37fb2896, 32'h353d09c3, 
	 32'he6b148bc, 32'h2674f25a, 32'h353be0d1, 32'hc1fd615b};

localparam [255:0] P_256_DB =
	{32'h7159a43b, 32'he8322471, 32'h19feaeb2, 32'h7a92466e, 
	 32'h2b07c8df, 32'h29bbd7ea, 32'hd3232af8, 32'h44995a95};

localparam [255:0] P_256_QB2_X =
	{32'h7f1985aa, 32'h9dd4df67, 32'h2e1b8673, 32'ha0a9adf8, 
	 32'h1f42adde, 32'had136ffb, 32'h0a89b382, 32'hb741238f};

localparam [255:0] P_256_QB2_Y =
	{32'h7281e72d, 32'h4c2aef64, 32'h048b04d5, 32'hf7d3a824, 
	 32'h294e3da8, 32'h2ddf43d7, 32'h009a5760, 32'hea0bc5a7};

localparam [255:0] P_256_S_X =
	{32'ha001c11b, 32'h0d04b6c3, 32'hbe99551e, 32'h9115b811, 
	 32'h0a41a0b7, 32'h59c3e3f2, 32'hfb636df1, 32'heb0e9a42};

localparam [255:0] P_256_S_Y =
	{32'h14ed5674, 32'h62b6ba27, 32'h2ba0e01b, 32'h2647d725, 
	 32'h5919bf5e, 32'hcbb542f7, 32'h659d40de, 32'h324524ac};

localparam [255:0] P_256_G_X =
	{32'h6b17d1f2, 32'he12c4247, 32'hf8bce6e5, 32'h63a440f2, 
	 32'h77037d81, 32'h2deb33a0, 32'hf4a13945, 32'hd898c296};

localparam [255:0] P_256_G_Y =
	{32'h4fe342e2, 32'hfe1a7f9b, 32'h8ee7eb4a, 32'h7c0f9e16, 
	 32'h2bce3357, 32'h6b315ece, 32'hcbb64068, 32'h37bf51f5};

localparam [255:0] P_256_H_X =
	{32'h7cf27b18, 32'h8d034f7e, 32'h8a523803, 32'h04b51ac3, 
	 32'hc08969e2, 32'h77f21b35, 32'ha60b48fc, 32'h47669978};

localparam [255:0] P_256_H_Y =
	{32'h07775510, 32'hdb8ed040, 32'h293d9ac6, 32'h9f7430db, 
	 32'hba7dade6, 32'h3ce98229, 32'h9e04b79d, 32'h227873d1};

localparam [383:0] P_384_N =
	{32'hffffffff, 32'hffffffff, 32'hffffffff, 32'hffffffff, 
	 32'hffffffff, 32'hffffffff, 32'hc7634d81, 32'hf4372ddf, 
	 32'h581a0db2, 32'h48b0a77a, 32'hecec196a, 32'hccc52973};

localparam [383:0] P_384_DA =
	{32'he733d9db, 32'hb8867b57, 32'h3cbbc0bd, 32'h899c88db, 
	 32'h669322e8, 32'h0435c1a4, 32'he2b0ddb1, 32'h5e757371, 
	 32'hf684a595, 32'h05d923c8, 32'hbf96dc11, 32'hc3ae505a};

localparam [383:0] P_384_QA_X =
	{32'h8b852708, 32'h18311f7f, 32'h1ef2f04d, 32'hb38e68b2, 
	 32'h3c008bfb, 32'h14e20cb0, 32'h37efa421, 32'hc3c3df6d, 
	 32'ha37c484e, 32'h855da981, 32'hda866580, 32'h7203ff36};

localparam [383:0] P_384_QA_Y =
	{32'hd748f515, 32'hef7f2672, 32'h0ce8a70e, 32'h20827296, 
	 32'h8ee6a89a, 32'haeccd8fb, 32'h61b35364, 32'hc70dfb48, 
	 32'heb5c685c, 32'h810bd9cb, 32'h2d184fb1, 32'h096ab30f};

localparam [383:0] P_384_QA2_X =
	{32'ha7b06d0d, 32'hb185275b, 32'he0a76c9f, 32'h0d7e1037, 
	 32'hb1b993a6, 32'hb055426c, 32'h82d1c569, 32'hab12f11f, 
	 32'h78c9b620, 32'heeca809d, 32'hfe7f31ed, 32'hcef06af5};

localparam [383:0] P_384_QA2_Y =
	{32'h1cfa89ed, 32'h774860c8, 32'h1ee725b0, 32'h9773be94, 
	 32'h58c4e140, 32'h8caa4964, 32'hd0044b57, 32'h92f5e0c9, 
	 32'h8fa62798, 32'h86c1bcbc, 32'h67ab059a, 32'hc38a59a1};

localparam [383:0] P_384_DB =
	{32'h5601820d, 32'h705224a5, 32'hdd6ddb13, 32'he0a15e76, 
	 32'h869e6abe, 32'h37ba2235, 32'h792af9f6, 32'ha9bf114a, 
	 32'hd1fd319d, 32'hd8181e06, 32'h44f15448, 32'h4e73a75a};

localparam [383:0] P_384_QB2_X =
	{32'hdf3317b1, 32'hba767101, 32'h33c69cd8, 32'hc70de5b6, 
	 32'h68b78d56, 32'hf0d851ec, 32'hf1697b04, 32'hd2ade0e8, 
	 32'h30a6e6d6, 32'h2467d70d, 32'hbe12e265, 32'haccdd5ed};

localparam [383:0] P_384_QB2_Y =
	{32'h6d8c1907, 32'ha63f3e87, 32'hd186f8b5, 32'h06fcf6d9, 
	 32'h2cc2a8a7, 32'hd38fa0ee, 32'hd9b67320, 32'h7181bcf4, 
	 32'h408e0dd0, 32'h25dfee03, 32'h6916632a, 32'h8335fba2};

localparam [383:0] P_384_S_X =
	{32'h15ac62cb, 32'hbb51e1ed, 32'hd41d489f, 32'hdfa05d45, 
	 32'h115f4ef2, 32'h269fbd26, 32'h3f6c7364, 32'h673f0b19, 
	 32'h489e8a7b, 32'hdfad6d40, 32'h277edf9f, 32'h62220c51};

localparam [383:0] P_384_S_Y =
	{32'ha0b846fe, 32'ha76973b4, 32'h12dfae76, 32'h2b3b6587, 
	 32'hf62be0a3, 32'h73da36ef, 32'h8992e7c9, 32'h6cf7619d, 
	 32'ha2d6c0a2, 32'hd31ad05d, 32'hb3a16a95, 32'h0cb7055f};

localparam [383:0] P_384_G_X =
	{32'haa87ca22, 32'hbe8b0537, 32'h8eb1c71e, 32'hf320ad74, 
	 32'h6e1d3b62, 32'h8ba79b98, 32'h59f741e0, 32'h82542a38, 
	 32'h5502f25d, 32'hbf55296c, 32'h3a545e38, 32'h72760ab7};

localparam [383:0] P_384_G_Y =
	{32'h3617de4a, 32'h96262c6f, 32'h5d9e98bf, 32'h9292dc29, 
	 32'hf8f41dbd, 32'h289a147c, 32'he9da3113, 32'hb5f0b8c0, 
	 32'h0a60b1ce, 32'h1d7e819d, 32'h7a431d7c, 32'h90ea0e5f};

localparam [383:0] P_384_H_X =
	{32'h08d99905, 32'h7ba3d2d9, 32'h69260045, 32'hc55b97f0, 
	 32'h89025959, 32'ha6f434d6, 32'h51d207d1, 32'h9fb96e9e, 
	 32'h4fe0e86e, 32'hbe0e64f8, 32'h5b96a9c7, 32'h5295df61};

localparam [383:0] P_384_H_Y =
	{32'h8e80f1fa, 32'h5b1b3ced, 32'hb7bfe8df, 32'hfd6dba74, 
	 32'hb275d875, 32'hbc6cc43e, 32'h904e505f, 32'h256ab425, 
	 32'h5ffd43e9, 32'h4d39e22d, 32'h61501e70, 32'h0a940e80};