aboutsummaryrefslogtreecommitdiff
path: root/toolruns/mem.sim
blob: 96aff4a67d585e9be936f2c428f5c6e6e565269a (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
#! /usr/local/Cellar/icarus-verilog/HEAD/bin/vvp
:ivl_version "11.0 (devel)" "(a1e0040)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision + 0;
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_0x7ff56cd001b0 .scope module, "keywrap_mem" "keywrap_mem" 2 40;
 .timescale 0 0;
    .port_info 0 /INPUT 1 "sys_clk"
    .port_info 1 /INPUT 1 "reset_n"
o0x1004b5008 .functor BUFZ 1, C4<z>; HiZ drive
v0x7ff56cd00620_0 .net "reset_n", 0 0, o0x1004b5008;  0 drivers
o0x1004b5038 .functor BUFZ 1, C4<z>; HiZ drive
v0x7ff56cd10660_0 .net "sys_clk", 0 0, o0x1004b5038;  0 drivers
S_0x7ff56cd00340 .scope module, "tb_keywrap_mem" "tb_keywrap_mem" 3 40;
 .timescale 0 0;
P_0x7ff56cd004a0 .param/l "CLK_HALF_PERIOD" 0 3 42, +C4<00000000000000000000000000000001>;
P_0x7ff56cd004e0 .param/l "CLK_PERIOD" 0 3 43, +C4<0000000000000000000000000000000000000000000000000000000000000010>;
v0x7ff56cd10a80_0 .var/i "cycle_ctr", 31 0;
v0x7ff56cd10b30_0 .var "tb_reset_n", 0 0;
v0x7ff56cd10bd0_0 .var "tb_sys_clk", 0 0;
S_0x7ff56cd10710 .scope begin, "clk_gen" "clk_gen" 3 56, 3 56 0, S_0x7ff56cd00340;
 .timescale 0 0;
S_0x7ff56cd108c0 .scope begin, "sys_monitor" "sys_monitor" 3 69, 3 69 0, S_0x7ff56cd00340;
 .timescale 0 0;
    .scope S_0x7ff56cd00340;
T_0 ;
    %fork t_1, S_0x7ff56cd10710;
    %jmp t_0;
    .scope S_0x7ff56cd10710;
t_1 ;
    %delay 1, 0;
    %load/vec4 v0x7ff56cd10bd0_0;
    %nor/r;
    %store/vec4 v0x7ff56cd10bd0_0, 0, 1;
    %end;
    .scope S_0x7ff56cd00340;
t_0 %join;
    %jmp T_0;
    .thread T_0;
    .scope S_0x7ff56cd00340;
T_1 ;
    %fork t_3, S_0x7ff56cd108c0;
    %jmp t_2;
    .scope S_0x7ff56cd108c0;
t_3 ;
    %load/vec4 v0x7ff56cd10a80_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7ff56cd10a80_0, 0, 32;
    %delay 2, 0;
    %end;
    .scope S_0x7ff56cd00340;
t_2 %join;
    %jmp T_1;
    .thread T_1;
    .scope S_0x7ff56cd00340;
T_2 ;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7ff56cd10a80_0, 0, 32;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7ff56cd10bd0_0, 0, 1;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7ff56cd10b30_0, 0, 1;
    %delay 20, 0;
    %pushi/vec4 1, 0, 1;
    %store/vec4 v0x7ff56cd10b30_0, 0, 1;
    %delay 20, 0;
    %vpi_call 3 93 "$finish" {0 0 0};
    %end;
    .thread T_2;
# The file index is used to find the file name in the following table.
:file_names 4;
    "N/A";
    "<interactive>";
    "../src/rtl/keywrap_mem.v";
    "../src/tb/tb_keywrap_mem.v";